From 98ce78460bdb9b81313d3342c74f24484373034c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Ir=C3=A1nyossy=20Knoblauch=20Art=C3=BAr?= Date: Mon, 15 Feb 2016 22:55:06 +0100 Subject: [PATCH 2/3] Make select() immune to system clock adjustments --- winsup/cygwin/select.cc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/winsup/cygwin/select.cc b/winsup/cygwin/select.cc index e1d48a3..5eb3417 100644 --- a/winsup/cygwin/select.cc +++ b/winsup/cygwin/select.cc @@ -133,7 +133,7 @@ select (int maxfds, fd_set *readfds, fd_set *writefds, fd_set *exceptfds, int ret = 0; /* Record the current time for later use. */ - LONGLONG start_time = gtod.msecs (); + LONGLONG start_time = ntod.msecs (); select_stuff sel; sel.return_on_signal = 0; @@ -212,7 +212,7 @@ select (int maxfds, fd_set *readfds, fd_set *writefds, fd_set *exceptfds, if (wait_state == select_stuff::select_loop && ms != INFINITE) { select_printf ("recalculating ms"); - LONGLONG now = gtod.msecs (); + LONGLONG now = ntod.msecs (); if (now > (start_time + ms)) { select_printf ("timed out after verification"); -- 1.9.1