"ls" doesn't show postscript files any longer

Siegmar Gross siegmar.gross@informatik.hs-fulda.de
Mon Feb 19 17:00:00 GMT 2018


Hi Henri,

I've created a one page file in directories /cygdrive/c/temp
and /tmp. Using "ps2pdf" I can see the pdf-file but still
not the ps-file. Unfortunately, I don't have "icacls" and I
couldn't find it with setup-x86_64.exe, so that I can only
provide the output from getfacl.

hermes temp 20 ps2pdf chap4.ps chap4.pdf
%%[ ProductName: GPL Ghostscript ]%%
%%[Page: 1]%%
%%[LastPage]%%
hermes temp 21 ls chap*
chap4.pdf
hermes temp 22 ls chap4.ps chap4.pdf
chap4.pdf  chap4.ps
hermes temp 23 getfacl chap4.ps
# file: chap4.ps
# owner: fd1026_2
# group: None
user::rwx
group::---
group:root:rwx
group:Authentifizierte Benutzer:rwx
group:SYSTEM:rwx
group:Benutzer:r-x
mask:rwx
other:---

hermes temp 24 getfacl chap4.pdf
# file: chap4.pdf
# owner: fd1026_2
# group: None
user::rw-
group::r-x                              #effective:r--
group:root:rwx                          #effective:rw-
group:Authentifizierte Benutzer:rwx     #effective:rw-
group:SYSTEM:rwx                        #effective:rw-
group:Benutzer:r-x                      #effective:r--
mask:rw-
other:r--

hermes temp 25 getfacl /cygdrive/c/temp/
# file: /cygdrive/c/temp/
# owner: Admin
# group: None
user::rwx
group::---
group:root:rwx
group:Authentifizierte Benutzer:rwx
group:SYSTEM:rwx
group:Benutzer:r-x
mask:rwx
other:---
default:user::---
default:group::---
default:group:root:rwx
default:group:Authentifizierte Benutzer:rwx
default:group:SYSTEM:rwx
default:group:Benutzer:r-x
default:mask:rwx
default:other:---

hermes temp 26 cd /tmp/
hermes tmp 27 ls *.ps
ls: No match.
hermes tmp 28 ls chap4.ps
chap4.ps
hermes tmp 29 getfacl chap4.ps
# file: chap4.ps
# owner: fd1026_2
# group: None
user::rwx
group::r-x
other:r-x

hermes tmp 30 getfacl /tmp
# file: /tmp
# owner: Admin
# group: None
# flags: --t
user::rwx
group::rwx
other:rwx
default:user::rwx
default:group::r-x
default:other:r-x

hermes tmp 31

I've updated Cygwin and Windows as I've said in my last email,
but I haven't changed any permissions of directories. Do you
need anything else? Thank you very much for any help in advance.


Kind regards

Siegmar


Am 19.02.2018 um 16:28 schrieb Houder:
> On Mon, 19 Feb 2018 12:24:24, Siegmar Gross wrote:
>> Hi,
>>
>> I'm using Cygwin on top of Windows 7 (all updates installed).
>> "ls" doesn't show postscript files any longer after upgrading
>> all installed Cygwin packages to the latest versions. I create
>> the postscript file with MS Word 2003 using the "HP Color LJ
>> 4550 PS" driver and printing to a file. In the past these files
>> were visible.
>>
>> hermes temp 10 uname -a
>> CYGWIN_NT-6.1 hermes 2.10.0(0.325/5/3) 2018-02-02 15:16 x86_64 Cygwin
>> hermes temp 11 ls
>> MpCmdRun.log
>> hermes temp 12 ls *.ps
>> ls: No match.
>> hermes temp 13 ls chap4.ps
>> chap4.ps
>> hermes temp 14 file chap4.ps
>> chap4.ps: PJL encapsulated PostScript document text
>> hermes temp 15
>>
>> Does anybody know why I don't see the existing file any longer?
>> What can I do to solve the problem? Thank you very much for any
>> help in advance.
> 
> Siegmar,
> 
> I can hardly believe that the above data is helpful for the average Cygwin
> user ...
> 
> Reporting Problems (https://cygwin.com/problems.html) tells you to at least
> attach the output of cygcheck:
> 
>      "Run "cygcheck -s -v -r > cygcheck.out" and include that file as an
>       attachment in your report. Please do not compress or otherwise encode
>       the output. Just attach it as a straight text file so that it can be
>       easily viewed."
> 
> You might also want to show us the output of
> 
>   - getfacl and
>   - icacls
> 
> applied to both the file "chap4.ps" and the current directory ...
> 
> Henri
-------------- next part --------------

Cygwin Configuration Diagnostics
Current System Time: Mon Feb 19 16:50:04 2018

Windows 7 Professional Ver 6.1 Build 7601 Service Pack 1

Path:	C:\Program Files\Java\jdk-9\bin
	C:\Program Files\Java\jdk-9\db-derby-10.13.1.1-bin\bin
	C:\cygwin64\bin
	C:\cygwin64\usr\sbin
	C:\cygwin64\usr\local\bin
	C:\cygwin64\home\fd1026_2\Cygwin\x86_64\bin
	C:\cygwin64\home\fd1026_2\Cygwin\x86_64\lib64
	C:\cygwin64\home\fd1026_2\Cygwin\x86_64\lib
	.
	C:\cygwin64\lib\lapack

Output from C:\cygwin64\bin\id.exe
UID: 197630(fd1026_2)                  GID: 513(None)
513(None)                              197634(SophosUser)
545(Benutzer)                          4(INTERAKTIV)
66049(KONSOLENANMELDUNG)               11(Authentifizierte Benutzer)
15(Diese Organisation)                 113(Lokales Konto)
4095(CurrentSession)                   66048(LOKAL)
262154(NTLM-Authentifizierung)         401408(Mittlere Verbindlichkeitsstufe)

SysDir: C:\Windows\system32
WinDir: C:\Windows

HOME = '/home/fd1026_2'
PWD = '/tmp'
USER = 'fd1026_2'
MAKE_MODE = 'unix'
LD_LIBRARY_PATH = '/usr/X11R6/lib:/usr/local/lib:/home/fd1026_2/Cygwin/x86_64/lib64:/home/fd1026_2/Cygwin/x86_64/lib'
C_INCLUDE_PATH = '/home/fd1026_2/Cygwin/x86_64/include'

!:: = '::\'
!C: = 'C:\cygwin64\bin'
ALLUSERSPROFILE = 'C:\ProgramData'
APPDATA = 'C:\Users\fd1026_2\AppData\Roaming'
CLASSPATH = 'C:\Program Files\Java\jdk-9\db\lib\derby.jar;C:\Program Files\Java\jdk-9\db\lib\derbytools.jar;C:\Program Files\Java\jdk-9\db\lib\derbyrun.jar;.'
COMMONPROGRAMFILES = 'C:\Program Files\Common Files'
CommonProgramFiles(x86) = 'C:\Program Files (x86)\Common Files'
CommonProgramW6432 = 'C:\Program Files\Common Files'
COMPUTERNAME = 'HERMES'
COMSPEC = 'C:\Windows\system32\cmd.exe'
DERBY_HOME = '/cygdrive/c/Program Files/Java/jdk-9/db/'
FP_NO_HOST_CHECK = 'NO'
HOMEDRIVE = 'C:'
HOMEPATH = '\Users\fd1026_2'
INCLUDE = 'C:\Program Files (x86)\Intel\OpenCL SDK\6.1\include'
INTELGTDEBUGGERROOT = 'C:\Program Files\Intel\Debugger for Heterogeneous Compute\'
INTELOCLPATH = 'C:\Program Files (x86)\Intel\OpenCL SDK\6.1\bin\x64;C:\Program Files (x86)\Intel\OpenCL SDK\6.1\bin\x86'
INTELOCLSDKROOT = 'C:\Program Files (x86)\Intel\OpenCL SDK\6.1\'
JAVA_HOME = '/cygdrive/c/Program Files/Java/jdk-9'
LIB = 'C:\Program Files (x86)\Intel\OpenCL SDK\6.1\lib\x64'
LOCALAPPDATA = 'C:\Users\fd1026_2\AppData\Local'
LOGONSERVER = '\\HERMES'
NUMBER_OF_PROCESSORS = '8'
OS = 'Windows_NT'
PATHEXT = '.COM;.EXE;.BAT;.CMD;.VBS;.VBE;.JS;.JSE;.WSF;.WSH;.MSC'
PROCESSOR_ARCHITECTURE = 'AMD64'
PROCESSOR_IDENTIFIER = 'Intel64 Family 6 Model 42 Stepping 7, GenuineIntel'
PROCESSOR_LEVEL = '6'
PROCESSOR_REVISION = '2a07'
ProgramData = 'C:\ProgramData'
PROGRAMFILES = 'C:\Program Files'
ProgramFiles(x86) = 'C:\Program Files (x86)'
ProgramW6432 = 'C:\Program Files'
PROMPT = '$P$G'
PSModulePath = 'C:\Windows\system32\WindowsPowerShell\v1.0\Modules\'
PUBLIC = 'C:\Users\Public'
SESSIONNAME = 'Console'
SYSTEMDRIVE = 'C:'
SYSTEMROOT = 'C:\Windows'
USERDOMAIN = 'hermes'
USERNAME = 'fd1026_2'
USERPROFILE = 'C:\Users\fd1026_2'
VS140COMNTOOLS = 'C:\Program Files (x86)\Microsoft Visual Studio 14.0\Common7\Tools\'
WINDIR = 'C:\Windows'
TERM = 'xterm'
HOSTTYPE = 'x86_64-cygwin'
VENDOR = 'unknown'
OSTYPE = 'cygwin'
MACHTYPE = 'x86_64'
SHLVL = '2'
LOGNAME = 'fd1026_2'
GROUP = 'None'
HOST = 'hermes'
LANG = 'de_DE.UTF-8'
TZ = 'Europe/Berlin'
SHELL = '/bin/tcsh'
SYSTEM_ENV = 'Cygwin'
MACHINE_ENV = 'x86_64'
DIRPREFIX_LOCAL = '/home/fd1026_2/Cygwin/x86_64'
DIRPREFIX_PROG = '/usr/local'
KERNEL_FILES = 'c:/cygwin64//home/fd1026_2/Cygwin/x86_64/kernel_files/'
MANPATH = '/home/fd1026_2/Cygwin/x86_64/jdk-9/man:/usr/share/man:/usr/local/man:/home/fd1026_2/Cygwin/x86_64/man'
HELPPATH = '/home/fd1026_2/Cygwin/x86_64/help'
INFOPATH = '/home/fd1026_2/Cygwin/x86_64/info'
CPLUS_INCLUDE_PATH = '/home/fd1026_2/Cygwin/x86_64/include'
SSH_AUTH_SOCK = '/tmp/ssh-ha4HxmYSxRma/agent.4468'
SSH_AGENT_PID = '4568'
L_DIRS = '-L/usr/X11R6/lib -L/usr/local/lib -L/home/fd1026_2/Cygwin/x86_64/lib64 -L/home/fd1026_2/Cygwin/x86_64/lib'
EDITOR = 'xemacs'
CSHEDIT = 'emacs'
PAGER = 'more'
HOST_NAME = 'hermes'
HOSTNAME = 'hermes'
LC_ALL = 'C'
MANWIDTH = '76'
DISPLAY = ':0.0'
WINDOWID = '8388636'
XTERM_VERSION = 'XTerm(330)'
XTERM_LOCALE = 'C'
XTERM_SHELL = '/usr/bin/tcsh'

HKEY_CURRENT_USER\Console\Cygwin64
  (default) = 0x00000007
  PopupColors = 0x000000f5
  ColorTable00 = 0x00000000
  ColorTable01 = 0x00800000
  ColorTable02 = 0x00008000
  ColorTable03 = 0x00808000
  ColorTable04 = 0x00000080
  ColorTable05 = 0x00800080
  ColorTable06 = 0x00008080
  ColorTable07 = 0x00c0c0c0
  ColorTable08 = 0x00808080
  ColorTable09 = 0x00ff0000
  ColorTable10 = 0x0000ff00
  ColorTable11 = 0x00ffff00
  ColorTable12 = 0x000000ff
  ColorTable13 = 0x00ff00ff
  ColorTable14 = 0x0000ffff
  ColorTable15 = 0x00ffffff
  InsertMode = 0x00000001
  QuickEdit = 0x00000000
  ScreenBufferSize = 0x012c0050
  WindowSize = 0x00190050
  FontSize = 0x000c0008
  FontFamily = 0x00000030
  FontWeight = 0x00000190
  FaceName = 'Terminal'
  CursorSize = 0x00000019
  HistoryBufferSize = 0x00000032
  NumberOfHistoryBuffers = 0x00000004
  HistoryNoDup = 0x00000000
HKEY_CURRENT_USER\Software\Cygwin
HKEY_CURRENT_USER\Software\Cygwin\Installations
  (default) = '\??\C:\cygwin'
  e022582115c10879 = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Installations
  (default) = '\??\C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\Program Options
HKEY_LOCAL_MACHINE\SOFTWARE\Cygwin\setup
  (default) = 'C:\cygwin64'
HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin
HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\Installations
  (default) = '\??\C:\cygwin'
HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\Program Options
HKEY_LOCAL_MACHINE\SOFTWARE\Wow6432Node\Cygwin\setup
  (default) = 'C:\cygwin'

obcaseinsensitive set to 1

Cygwin installations found in the registry:
  System: Key: e022582115c10879 Path: C:\cygwin64
  User:   Key: c5e39b7a9d22bafb Path: C:\cygwin
  User:   Key: e022582115c10879 Path: C:\cygwin64

c:  hd  NTFS    199898Mb  59% CP CS UN PA FC     EN
d:  hd  NTFS    515401Mb  86% CP CS UN PA FC     EN
f:  cd             N/A    N/A                      

C:\cygwin64      /          system  binary,auto
C:\cygwin64\bin  /usr/bin   system  binary,auto
C:\cygwin64\lib  /usr/lib   system  binary,auto
cygdrive prefix  /cygdrive  user    binary,posix=0,auto

Found: C:\cygwin64\bin\awk
 -> C:\cygwin64\bin\gawk.exe
Found: C:\cygwin64\bin\bash.exe
Found: C:\cygwin64\bin\cat.exe
Found: C:\cygwin64\bin\cp.exe
Found: C:\cygwin64\bin\cpp.exe
Not Found: crontab
Found: C:\cygwin64\bin\find.exe
Found: C:\cygwin64\bin\gcc.exe
Found: C:\cygwin64\bin\gdb.exe
Found: C:\cygwin64\bin\grep.exe
Found: C:\cygwin64\bin\kill.exe
Found: C:\cygwin64\bin\ld.exe
Found: C:\cygwin64\bin\ls.exe
Found: C:\cygwin64\bin\make.exe
Found: C:\cygwin64\bin\mv.exe
Not Found: patch
Found: C:\cygwin64\bin\perl.exe
Found: C:\cygwin64\bin\rm.exe
Found: C:\cygwin64\bin\sed.exe
Found: C:\cygwin64\bin\ssh.exe
Found: C:\cygwin64\bin\sh.exe
Found: C:\cygwin64\bin\tar.exe
Found: C:\cygwin64\bin\test.exe
Found: C:\cygwin64\bin\vi.exe
Found: C:\cygwin64\bin\vim.exe

   53k 2013/11/01 C:\cygwin64\bin\cyganthy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthy-0.dll" v0.0 ts=2013-11-01 07:21
  249k 2013/11/01 C:\cygwin64\bin\cyganthydic-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthydic-0.dll" v0.0 ts=2013-11-01 07:20
   51k 2013/11/01 C:\cygwin64\bin\cyganthyinput-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyganthyinput-0.dll" v0.0 ts=2013-11-01 07:21
  626k 2017/10/27 C:\cygwin64\bin\cygarchive-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygarchive-13.dll" v0.0 ts=2017-10-27 03:12
   39k 2016/09/19 C:\cygwin64\bin\cygargp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygargp-0.dll" v0.0 ts=2016-09-19 02:13
  510k 2014/03/20 C:\cygwin64\bin\cygasn1-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygasn1-8.dll" v0.0 ts=2014-03-20 04:54
  643k 2013/04/30 C:\cygwin64\bin\cygaspell-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygaspell-15.dll" v0.0 ts=2013-04-30 08:11
   10k 2016/10/23 C:\cygwin64\bin\cygasprintf-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygasprintf-0.dll" v0.0 ts=2016-10-23 07:16
  116k 2017/09/04 C:\cygwin64\bin\cygatk-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-1.0-0.dll" v0.0 ts=2017-09-04 21:20
  158k 2017/09/04 C:\cygwin64\bin\cygatk-bridge-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatk-bridge-2.0-0.dll" v0.0 ts=2017-09-04 22:05
   88k 2018/01/20 C:\cygwin64\bin\cygatomic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygatomic-1.dll" v0.0 ts=2018-01-20 07:39
  145k 2017/09/04 C:\cygwin64\bin\cygatspi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygatspi-0.dll" v0.0 ts=2017-09-04 21:58
   16k 2013/03/26 C:\cygwin64\bin\cygattr-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygattr-1.dll" v0.0 ts=2013-03-26 18:26
  175k 2017/09/05 C:\cygwin64\bin\cygautotrace-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygautotrace-3.dll" v0.0 ts=2017-09-05 22:52
   60k 2016/09/09 C:\cygwin64\bin\cygavahi-client-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygavahi-client-3.dll" v0.0 ts=2016-09-08 18:03
   43k 2016/09/09 C:\cygwin64\bin\cygavahi-common-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygavahi-common-3.dll" v0.0 ts=2016-09-08 18:03
   14k 2016/09/09 C:\cygwin64\bin\cygavahi-glib-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygavahi-glib-1.dll" v0.0 ts=2016-09-08 18:03
27027k 2018/02/13 C:\cygwin64\bin\cygblas-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygblas-0.dll" v0.0 ts=2018-02-13 08:18
  180k 2015/03/23 C:\cygwin64\bin\cygblkid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygblkid-1.dll" v0.0 ts=2015-03-23 09:46
   64k 2017/02/22 C:\cygwin64\bin\cygbz2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygbz2-1.dll" v0.0 ts=2017-02-22 07:22
  736k 2018/01/21 C:\cygwin64\bin\cygc++-1.dll - os=4.0 img=1.0 sys=5.2
                  "cygc++-1.dll" v0.0 ts=2018-01-21 21:07
  249k 2018/01/04 C:\cygwin64\bin\cygc++abi-1.dll - os=4.0 img=1.0 sys=5.2
                  "cygc++abi-1.dll" v0.0 ts=2018-01-04 23:12
  998k 2017/07/14 C:\cygwin64\bin\cygcairo-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-2.dll" v0.0 ts=2017-07-14 21:26
   27k 2017/07/14 C:\cygwin64\bin\cygcairo-gobject-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-gobject-2.dll" v0.0 ts=2017-07-14 21:26
  119k 2017/07/14 C:\cygwin64\bin\cygcairo-script-interpreter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcairo-script-interpreter-2.dll" v0.0 ts=2017-07-14 21:26
   13k 2013/03/06 C:\cygwin64\bin\cygcatgets1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcatgets1.dll" v0.0 ts=2013-03-06 14:34
   10k 2015/02/20 C:\cygwin64\bin\cygcharset-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcharset-1.dll" v0.0 ts=2015-02-20 17:07
  480k 2016/02/11 C:\cygwin64\bin\cygclang-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclang-3.7.dll" v0.0 ts=2016-02-11 11:57
  487k 2016/07/21 C:\cygwin64\bin\cygclang-3.8.dll - os=4.0 img=3.8 sys=5.2
                  "cygclang-3.8.dll" v0.0 ts=2016-07-21 09:42
  425k 2017/02/20 C:\cygwin64\bin\cygclang-3.9.dll - os=4.0 img=3.9 sys=5.2
                  "cygclang-3.9.dll" v0.0 ts=2017-02-20 20:29
  426k 2017/06/24 C:\cygwin64\bin\cygclang-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclang-4.0.dll" v0.0 ts=2017-06-24 01:34
  431k 2018/01/21 C:\cygwin64\bin\cygclang-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclang-5.0.dll" v0.0 ts=2018-01-21 12:13
  500k 2016/02/11 C:\cygwin64\bin\cygclangAnalysis-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangAnalysis-3.7.dll" v0.0 ts=2016-02-11 11:44
  505k 2016/07/21 C:\cygwin64\bin\cygclangAnalysis-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangAnalysis-3.8.dll" v0.0 ts=2016-07-21 09:27
  505k 2017/02/20 C:\cygwin64\bin\cygclangAnalysis-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangAnalysis-3.9.dll" v0.0 ts=2017-02-20 20:15
  562k 2017/06/24 C:\cygwin64\bin\cygclangAnalysis-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangAnalysis-4.0.dll" v0.0 ts=2017-06-24 01:20
  572k 2018/01/21 C:\cygwin64\bin\cygclangAnalysis-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangAnalysis-5.0.dll" v0.0 ts=2018-01-21 11:57
 1294k 2016/02/11 C:\cygwin64\bin\cygclangARCMigrate-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangARCMigrate-3.7.dll" v0.0 ts=2016-02-11 11:56
 1387k 2016/07/21 C:\cygwin64\bin\cygclangARCMigrate-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangARCMigrate-3.8.dll" v0.0 ts=2016-07-21 09:40
 1430k 2017/02/20 C:\cygwin64\bin\cygclangARCMigrate-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangARCMigrate-3.9.dll" v0.0 ts=2017-02-20 20:28
 1493k 2017/06/24 C:\cygwin64\bin\cygclangARCMigrate-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangARCMigrate-4.0.dll" v0.0 ts=2017-06-24 01:33
 1541k 2018/01/21 C:\cygwin64\bin\cygclangARCMigrate-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangARCMigrate-5.0.dll" v0.0 ts=2018-01-21 12:11
 2440k 2016/02/11 C:\cygwin64\bin\cygclangAST-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangAST-3.7.dll" v0.0 ts=2016-02-11 11:43
 2597k 2016/07/21 C:\cygwin64\bin\cygclangAST-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangAST-3.8.dll" v0.0 ts=2016-07-21 09:26
 2632k 2017/02/20 C:\cygwin64\bin\cygclangAST-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangAST-3.9.dll" v0.0 ts=2017-02-20 20:13
 2715k 2017/06/24 C:\cygwin64\bin\cygclangAST-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangAST-4.0.dll" v0.0 ts=2017-06-24 01:18
 2817k 2018/01/21 C:\cygwin64\bin\cygclangAST-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangAST-5.0.dll" v0.0 ts=2018-01-21 11:56
  259k 2016/02/11 C:\cygwin64\bin\cygclangASTMatchers-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangASTMatchers-3.7.dll" v0.0 ts=2016-02-11 11:44
  280k 2016/07/21 C:\cygwin64\bin\cygclangASTMatchers-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangASTMatchers-3.8.dll" v0.0 ts=2016-07-21 09:27
  304k 2017/02/20 C:\cygwin64\bin\cygclangASTMatchers-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangASTMatchers-3.9.dll" v0.0 ts=2017-02-20 20:15
  316k 2017/06/24 C:\cygwin64\bin\cygclangASTMatchers-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangASTMatchers-4.0.dll" v0.0 ts=2017-06-24 01:20
  326k 2018/01/21 C:\cygwin64\bin\cygclangASTMatchers-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangASTMatchers-5.0.dll" v0.0 ts=2018-01-21 11:57
 1391k 2016/02/11 C:\cygwin64\bin\cygclangBasic-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangBasic-3.7.dll" v0.0 ts=2016-02-11 11:40
 1536k 2016/07/21 C:\cygwin64\bin\cygclangBasic-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangBasic-3.8.dll" v0.0 ts=2016-07-21 09:24
 1706k 2017/02/20 C:\cygwin64\bin\cygclangBasic-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangBasic-3.9.dll" v0.0 ts=2017-02-20 20:11
 1760k 2017/06/24 C:\cygwin64\bin\cygclangBasic-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangBasic-4.0.dll" v0.0 ts=2017-06-24 01:15
 1842k 2018/01/21 C:\cygwin64\bin\cygclangBasic-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangBasic-5.0.dll" v0.0 ts=2018-01-21 11:53
 2481k 2016/02/11 C:\cygwin64\bin\cygclangCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangCodeGen-3.7.dll" v0.0 ts=2016-02-11 11:56
 2772k 2016/07/21 C:\cygwin64\bin\cygclangCodeGen-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangCodeGen-3.8.dll" v0.0 ts=2016-07-21 09:41
 3012k 2017/02/20 C:\cygwin64\bin\cygclangCodeGen-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangCodeGen-3.9.dll" v0.0 ts=2017-02-20 20:29
 3185k 2017/06/24 C:\cygwin64\bin\cygclangCodeGen-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangCodeGen-4.0.dll" v0.0 ts=2017-06-24 01:34
 3417k 2018/01/21 C:\cygwin64\bin\cygclangCodeGen-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangCodeGen-5.0.dll" v0.0 ts=2018-01-21 12:13
  797k 2016/02/11 C:\cygwin64\bin\cygclangDriver-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangDriver-3.7.dll" v0.0 ts=2016-02-11 22:34
  890k 2016/07/21 C:\cygwin64\bin\cygclangDriver-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangDriver-3.8.dll" v0.0 ts=2016-07-21 09:24
  972k 2017/02/20 C:\cygwin64\bin\cygclangDriver-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangDriver-3.9.dll" v0.0 ts=2017-02-20 20:11
 1082k 2017/06/24 C:\cygwin64\bin\cygclangDriver-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangDriver-4.0.dll" v0.0 ts=2017-06-24 01:16
 1320k 2018/01/21 C:\cygwin64\bin\cygclangDriver-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangDriver-5.0.dll" v0.0 ts=2018-01-21 11:54
 1055k 2016/02/11 C:\cygwin64\bin\cygclangDynamicASTMatchers-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangDynamicASTMatchers-3.7.dll" v0.0 ts=2016-02-11 11:46
 1169k 2016/07/21 C:\cygwin64\bin\cygclangDynamicASTMatchers-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangDynamicASTMatchers-3.8.dll" v0.0 ts=2016-07-21 09:29
 1294k 2017/02/20 C:\cygwin64\bin\cygclangDynamicASTMatchers-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangDynamicASTMatchers-3.9.dll" v0.0 ts=2017-02-20 20:17
 1333k 2017/06/24 C:\cygwin64\bin\cygclangDynamicASTMatchers-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangDynamicASTMatchers-4.0.dll" v0.0 ts=2017-06-24 01:22
 1353k 2018/01/21 C:\cygwin64\bin\cygclangDynamicASTMatchers-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangDynamicASTMatchers-5.0.dll" v0.0 ts=2018-01-21 12:00
   48k 2016/02/11 C:\cygwin64\bin\cygclangEdit-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangEdit-3.7.dll" v0.0 ts=2016-02-11 11:43
   52k 2016/07/21 C:\cygwin64\bin\cygclangEdit-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangEdit-3.8.dll" v0.0 ts=2016-07-21 09:27
   52k 2017/02/20 C:\cygwin64\bin\cygclangEdit-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangEdit-3.9.dll" v0.0 ts=2017-02-20 20:14
   52k 2017/06/24 C:\cygwin64\bin\cygclangEdit-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangEdit-4.0.dll" v0.0 ts=2017-06-24 01:19
   52k 2018/01/21 C:\cygwin64\bin\cygclangEdit-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangEdit-5.0.dll" v0.0 ts=2018-01-21 11:57
  245k 2016/02/11 C:\cygwin64\bin\cygclangFormat-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangFormat-3.7.dll" v0.0 ts=2016-02-11 11:42
  271k 2016/07/21 C:\cygwin64\bin\cygclangFormat-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFormat-3.8.dll" v0.0 ts=2016-07-21 09:27
  358k 2017/02/20 C:\cygwin64\bin\cygclangFormat-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFormat-3.9.dll" v0.0 ts=2017-02-20 20:15
  373k 2017/06/24 C:\cygwin64\bin\cygclangFormat-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangFormat-4.0.dll" v0.0 ts=2017-06-24 01:20
  431k 2018/01/21 C:\cygwin64\bin\cygclangFormat-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangFormat-5.0.dll" v0.0 ts=2018-01-21 11:57
  843k 2016/02/11 C:\cygwin64\bin\cygclangFrontend-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangFrontend-3.7.dll" v0.0 ts=2016-02-11 11:52
  909k 2016/07/21 C:\cygwin64\bin\cygclangFrontend-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFrontend-3.8.dll" v0.0 ts=2016-07-21 09:36
  949k 2017/02/20 C:\cygwin64\bin\cygclangFrontend-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFrontend-3.9.dll" v0.0 ts=2017-02-20 20:24
  997k 2017/06/24 C:\cygwin64\bin\cygclangFrontend-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangFrontend-4.0.dll" v0.0 ts=2017-06-24 01:29
 1057k 2018/01/21 C:\cygwin64\bin\cygclangFrontend-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangFrontend-5.0.dll" v0.0 ts=2018-01-21 12:07
   18k 2016/02/11 C:\cygwin64\bin\cygclangFrontendTool-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangFrontendTool-3.7.dll" v0.0 ts=2016-02-11 11:58
   18k 2016/07/21 C:\cygwin64\bin\cygclangFrontendTool-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFrontendTool-3.8.dll" v0.0 ts=2016-07-21 09:44
   21k 2017/02/20 C:\cygwin64\bin\cygclangFrontendTool-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangFrontendTool-3.9.dll" v0.0 ts=2017-02-20 20:31
   22k 2017/06/24 C:\cygwin64\bin\cygclangFrontendTool-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangFrontendTool-4.0.dll" v0.0 ts=2017-06-24 01:36
   21k 2018/01/21 C:\cygwin64\bin\cygclangFrontendTool-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangFrontendTool-5.0.dll" v0.0 ts=2018-01-21 12:15
   89k 2016/02/11 C:\cygwin64\bin\cygclangIndex-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangIndex-3.7.dll" v0.0 ts=2016-02-11 11:43
   92k 2016/07/21 C:\cygwin64\bin\cygclangIndex-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangIndex-3.8.dll" v0.0 ts=2016-07-21 09:27
  249k 2017/02/20 C:\cygwin64\bin\cygclangIndex-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangIndex-3.9.dll" v0.0 ts=2017-02-20 20:26
  208k 2017/06/24 C:\cygwin64\bin\cygclangIndex-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangIndex-4.0.dll" v0.0 ts=2017-06-24 01:30
  224k 2018/01/21 C:\cygwin64\bin\cygclangIndex-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangIndex-5.0.dll" v0.0 ts=2018-01-21 12:09
  599k 2016/02/11 C:\cygwin64\bin\cygclangLex-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangLex-3.7.dll" v0.0 ts=2016-02-11 11:41
  623k 2016/07/21 C:\cygwin64\bin\cygclangLex-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangLex-3.8.dll" v0.0 ts=2016-07-21 09:24
  644k 2017/02/20 C:\cygwin64\bin\cygclangLex-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangLex-3.9.dll" v0.0 ts=2017-02-20 20:12
  657k 2017/06/24 C:\cygwin64\bin\cygclangLex-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangLex-4.0.dll" v0.0 ts=2017-06-24 01:16
  663k 2018/01/21 C:\cygwin64\bin\cygclangLex-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangLex-5.0.dll" v0.0 ts=2018-01-21 11:53
  754k 2016/02/11 C:\cygwin64\bin\cygclangParse-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangParse-3.7.dll" v0.0 ts=2016-02-11 11:51
  775k 2016/07/21 C:\cygwin64\bin\cygclangParse-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangParse-3.8.dll" v0.0 ts=2016-07-21 09:35
  813k 2017/02/20 C:\cygwin64\bin\cygclangParse-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangParse-3.9.dll" v0.0 ts=2017-02-20 20:23
  829k 2017/06/24 C:\cygwin64\bin\cygclangParse-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangParse-4.0.dll" v0.0 ts=2017-06-24 01:28
  862k 2018/01/21 C:\cygwin64\bin\cygclangParse-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangParse-5.0.dll" v0.0 ts=2018-01-21 12:06
   57k 2016/02/11 C:\cygwin64\bin\cygclangRewrite-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangRewrite-3.7.dll" v0.0 ts=2016-02-11 11:41
   57k 2016/07/21 C:\cygwin64\bin\cygclangRewrite-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangRewrite-3.8.dll" v0.0 ts=2016-07-21 09:24
   57k 2017/02/20 C:\cygwin64\bin\cygclangRewrite-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangRewrite-3.9.dll" v0.0 ts=2017-02-20 20:12
   58k 2017/06/24 C:\cygwin64\bin\cygclangRewrite-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangRewrite-4.0.dll" v0.0 ts=2017-06-24 01:16
   57k 2018/01/21 C:\cygwin64\bin\cygclangRewrite-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangRewrite-5.0.dll" v0.0 ts=2018-01-21 11:54
  382k 2016/02/11 C:\cygwin64\bin\cygclangRewriteFrontend-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangRewriteFrontend-3.7.dll" v0.0 ts=2016-02-11 11:54
  385k 2016/07/21 C:\cygwin64\bin\cygclangRewriteFrontend-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangRewriteFrontend-3.8.dll" v0.0 ts=2016-07-21 09:38
  386k 2017/02/20 C:\cygwin64\bin\cygclangRewriteFrontend-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangRewriteFrontend-3.9.dll" v0.0 ts=2017-02-20 20:26
  390k 2017/06/24 C:\cygwin64\bin\cygclangRewriteFrontend-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangRewriteFrontend-4.0.dll" v0.0 ts=2017-06-24 01:30
  417k 2018/01/21 C:\cygwin64\bin\cygclangRewriteFrontend-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangRewriteFrontend-5.0.dll" v0.0 ts=2018-01-21 12:09
 4723k 2016/02/11 C:\cygwin64\bin\cygclangSema-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangSema-3.7.dll" v0.0 ts=2016-02-11 11:48
 4933k 2016/07/21 C:\cygwin64\bin\cygclangSema-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangSema-3.8.dll" v0.0 ts=2016-07-21 09:31
 5240k 2017/02/20 C:\cygwin64\bin\cygclangSema-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangSema-3.9.dll" v0.0 ts=2017-02-20 20:20
 5644k 2017/06/24 C:\cygwin64\bin\cygclangSema-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangSema-4.0.dll" v0.0 ts=2017-06-24 01:25
 6359k 2018/01/21 C:\cygwin64\bin\cygclangSema-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangSema-5.0.dll" v0.0 ts=2018-01-21 12:02
 1063k 2016/02/11 C:\cygwin64\bin\cygclangSerialization-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangSerialization-3.7.dll" v0.0 ts=2016-02-11 11:51
 1115k 2016/07/21 C:\cygwin64\bin\cygclangSerialization-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangSerialization-3.8.dll" v0.0 ts=2016-07-21 09:34
 1164k 2017/02/20 C:\cygwin64\bin\cygclangSerialization-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangSerialization-3.9.dll" v0.0 ts=2017-02-20 20:23
 1223k 2017/06/24 C:\cygwin64\bin\cygclangSerialization-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangSerialization-4.0.dll" v0.0 ts=2017-06-24 01:28
 1319k 2018/01/21 C:\cygwin64\bin\cygclangSerialization-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangSerialization-5.0.dll" v0.0 ts=2018-01-21 12:06
 1553k 2016/02/11 C:\cygwin64\bin\cygclangStaticAnalyzerCheckers-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangStaticAnalyzerCheckers-3.7.dll" v0.0 ts=2016-02-11 11:51
 2018k 2016/07/21 C:\cygwin64\bin\cygclangStaticAnalyzerCheckers-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerCheckers-3.8.dll" v0.0 ts=2016-07-21 09:33
 2226k 2017/02/20 C:\cygwin64\bin\cygclangStaticAnalyzerCheckers-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerCheckers-3.9.dll" v0.0 ts=2017-02-20 20:22
 2741k 2017/06/24 C:\cygwin64\bin\cygclangStaticAnalyzerCheckers-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangStaticAnalyzerCheckers-4.0.dll" v0.0 ts=2017-06-24 01:27
 2858k 2018/01/21 C:\cygwin64\bin\cygclangStaticAnalyzerCheckers-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangStaticAnalyzerCheckers-5.0.dll" v0.0 ts=2018-01-21 12:05
 1139k 2016/02/11 C:\cygwin64\bin\cygclangStaticAnalyzerCore-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangStaticAnalyzerCore-3.7.dll" v0.0 ts=2016-02-11 11:47
 1234k 2016/07/21 C:\cygwin64\bin\cygclangStaticAnalyzerCore-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerCore-3.8.dll" v0.0 ts=2016-07-21 09:29
 1238k 2017/02/20 C:\cygwin64\bin\cygclangStaticAnalyzerCore-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerCore-3.9.dll" v0.0 ts=2017-02-20 20:18
 1282k 2017/06/24 C:\cygwin64\bin\cygclangStaticAnalyzerCore-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangStaticAnalyzerCore-4.0.dll" v0.0 ts=2017-06-24 01:22
 1284k 2018/01/21 C:\cygwin64\bin\cygclangStaticAnalyzerCore-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangStaticAnalyzerCore-5.0.dll" v0.0 ts=2018-01-21 11:59
  148k 2016/02/11 C:\cygwin64\bin\cygclangStaticAnalyzerFrontend-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangStaticAnalyzerFrontend-3.7.dll" v0.0 ts=2016-02-11 11:54
  142k 2016/07/21 C:\cygwin64\bin\cygclangStaticAnalyzerFrontend-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerFrontend-3.8.dll" v0.0 ts=2016-07-21 09:37
  142k 2017/02/20 C:\cygwin64\bin\cygclangStaticAnalyzerFrontend-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangStaticAnalyzerFrontend-3.9.dll" v0.0 ts=2017-02-20 20:25
  153k 2017/06/24 C:\cygwin64\bin\cygclangStaticAnalyzerFrontend-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangStaticAnalyzerFrontend-4.0.dll" v0.0 ts=2017-06-24 01:31
  162k 2018/01/21 C:\cygwin64\bin\cygclangStaticAnalyzerFrontend-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangStaticAnalyzerFrontend-5.0.dll" v0.0 ts=2018-01-21 12:08
  225k 2016/02/11 C:\cygwin64\bin\cygclangTooling-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangTooling-3.7.dll" v0.0 ts=2016-02-11 11:54
  244k 2016/07/21 C:\cygwin64\bin\cygclangTooling-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangTooling-3.8.dll" v0.0 ts=2016-07-21 09:38
  269k 2017/02/20 C:\cygwin64\bin\cygclangTooling-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangTooling-3.9.dll" v0.0 ts=2017-02-20 20:25
  285k 2017/06/24 C:\cygwin64\bin\cygclangTooling-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangTooling-4.0.dll" v0.0 ts=2017-06-24 01:30
  295k 2018/01/21 C:\cygwin64\bin\cygclangTooling-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangTooling-5.0.dll" v0.0 ts=2018-01-21 12:09
   27k 2016/02/11 C:\cygwin64\bin\cygclangToolingCore-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygclangToolingCore-3.7.dll" v0.0 ts=2016-02-11 11:41
   33k 2016/07/21 C:\cygwin64\bin\cygclangToolingCore-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangToolingCore-3.8.dll" v0.0 ts=2016-07-21 09:27
   46k 2017/02/20 C:\cygwin64\bin\cygclangToolingCore-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygclangToolingCore-3.9.dll" v0.0 ts=2017-02-20 20:14
   57k 2017/06/24 C:\cygwin64\bin\cygclangToolingCore-4.0.dll - os=4.0 img=4.0 sys=5.2
                  "cygclangToolingCore-4.0.dll" v0.0 ts=2017-06-24 01:19
   57k 2018/01/21 C:\cygwin64\bin\cygclangToolingCore-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangToolingCore-5.0.dll" v0.0 ts=2018-01-21 11:57
  374k 2018/01/21 C:\cygwin64\bin\cygclangToolingRefactor-5.0.dll - os=4.0 img=5.0 sys=5.2
                  "cygclangToolingRefactor-5.0.dll" v0.0 ts=2018-01-21 12:11
  111k 2013/05/05 C:\cygwin64\bin\cygcloog-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcloog-0.dll" v0.0 ts=2013-05-05 16:39
  117k 2013/05/05 C:\cygwin64\bin\cygcloog-isl-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygcloog-isl-4.dll" v0.0 ts=2013-05-05 16:41
   13k 2015/03/19 C:\cygwin64\bin\cygcom_err-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygcom_err-2.dll" v0.0 ts=2015-03-19 02:44
   26k 2018/02/07 C:\cygwin64\bin\cygcord-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygcord-1.dll" v0.0 ts=2018-02-07 01:40
  203k 2017/04/25 C:\cygwin64\bin\cygcroco-0.6-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygcroco-0.6-3.dll" v0.0 ts=2017-04-25 17:03
   39k 2017/09/03 C:\cygwin64\bin\cygcrypt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypt-0.dll" v0.0 ts=2017-09-03 08:18
 2244k 2018/01/10 C:\cygwin64\bin\cygcrypto-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygcrypto-1.0.0.dll" v0.0 ts=2018-01-10 17:09
  505k 2017/10/26 C:\cygwin64\bin\cygcurl-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygcurl-4.dll" v0.0 ts=2017-10-26 08:12
   24k 2014/11/12 C:\cygwin64\bin\cygdatrie-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygdatrie-1.dll" v0.0 ts=2014-11-12 07:24
 1552k 2017/09/28 C:\cygwin64\bin\cygdb-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb-5.3.dll" v0.0 ts=2017-09-28 20:05
  267k 2017/08/10 C:\cygwin64\bin\cygdbus-1-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdbus-1-3.dll" v0.0 ts=2017-08-10 06:10
  124k 2016/10/10 C:\cygwin64\bin\cygdbus-glib-1-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygdbus-glib-1-2.dll" v0.0 ts=2016-10-10 03:34
  119k 2017/09/28 C:\cygwin64\bin\cygdb_cxx-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_cxx-5.3.dll" v0.0 ts=2017-09-28 20:06
  569k 2017/09/28 C:\cygwin64\bin\cygdb_sql-5.3.dll - os=4.0 img=0.0 sys=5.2
                  "cygdb_sql-5.3.dll" v0.0 ts=2017-09-28 20:06
  169k 2014/02/10 C:\cygwin64\bin\cygdialog-11.dll - os=4.0 img=0.0 sys=5.2
                  "cygdialog-11.dll" v0.0 ts=2014-02-10 01:24
  173k 2015/03/13 C:\cygwin64\bin\cygdialog-12.dll - os=4.0 img=0.0 sys=5.2
                  "cygdialog-12.dll" v0.0 ts=2015-03-13 20:55
  176k 2016/09/19 C:\cygwin64\bin\cygdialog-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygdialog-13.dll" v0.0 ts=2016-09-19 07:17
  177k 2017/05/09 C:\cygwin64\bin\cygdialog-14.dll - os=4.0 img=0.0 sys=5.2
                  "cygdialog-14.dll" v0.0 ts=2017-05-09 20:19
  154k 2013/10/20 C:\cygwin64\bin\cygedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygedit-0.dll" v0.0 ts=2013-10-20 21:56
  179k 2018/01/19 C:\cygwin64\bin\cygEGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEGL-1.dll" v0.0 ts=2018-01-19 17:57
  158k 2016/08/23 C:\cygwin64\bin\cygEMF-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygEMF-1.dll" v0.0 ts=2016-08-23 17:23
   37k 2017/07/24 C:\cygwin64\bin\cygenchant-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygenchant-1.dll" v0.0 ts=2017-07-24 07:44
  679k 2017/09/04 C:\cygwin64\bin\cygepoxy-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygepoxy-0.dll" v0.0 ts=2017-09-04 22:23
  148k 2017/08/06 C:\cygwin64\bin\cygexpat-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygexpat-1.dll" v0.0 ts=2017-08-07 00:43
   73k 2016/08/26 C:\cygwin64\bin\cygexslt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygexslt-0.dll" v0.0 ts=2016-08-26 22:10
   30k 2014/10/14 C:\cygwin64\bin\cygfam-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfam-0.dll" v0.0 ts=2014-10-14 21:33
   27k 2015/11/17 C:\cygwin64\bin\cygffi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygffi-6.dll" v0.0 ts=2015-11-17 22:14
 1050k 2017/02/02 C:\cygwin64\bin\cygfftw3-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3-3.dll" v0.0 ts=2017-02-02 12:10
 1009k 2017/02/02 C:\cygwin64\bin\cygfftw3f-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f-3.dll" v0.0 ts=2017-02-02 12:05
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3f_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3f_threads-3.dll" v0.0 ts=2017-02-02 12:05
  864k 2017/02/02 C:\cygwin64\bin\cygfftw3l-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3l-3.dll" v0.0 ts=2017-02-02 12:14
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3l_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3l_threads-3.dll" v0.0 ts=2017-02-02 12:15
   24k 2017/02/02 C:\cygwin64\bin\cygfftw3_threads-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfftw3_threads-3.dll" v0.0 ts=2017-02-02 12:10
  243k 2017/09/04 C:\cygwin64\bin\cygfontconfig-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontconfig-1.dll" v0.0 ts=2017-09-04 22:43
   26k 2016/01/29 C:\cygwin64\bin\cygfontenc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfontenc-1.dll" v0.0 ts=2016-01-29 20:19
   65k 2017/12/05 C:\cygwin64\bin\cygformw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygformw-10.dll" v0.0 ts=2017-12-05 08:15
  545k 2015/01/15 C:\cygwin64\bin\cygfpx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygfpx-1.dll" v0.0 ts=2015-01-15 12:50
  430k 2017/04/30 C:\cygwin64\bin\cygfreebl3.dll - os=4.0 img=0.0 sys=5.2
                  "cygfreebl3.dll" v0.0 ts=2017-04-30 21:05
  597k 2016/07/28 C:\cygwin64\bin\cygfreetype-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygfreetype-6.dll" v0.0 ts=2016-07-28 17:48
   93k 2016/06/02 C:\cygwin64\bin\cygfribidi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygfribidi-0.dll" v0.0 ts=2016-06-02 17:17
   30k 2017/09/04 C:\cygwin64\bin\cyggailutil-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggailutil-3-0.dll" v0.0 ts=2017-09-04 23:45
  120k 2018/02/07 C:\cygwin64\bin\cyggc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggc-1.dll" v0.0 ts=2018-02-07 01:40
  119k 2018/01/16 C:\cygwin64\bin\cyggc-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyggc-2.dll" v0.0 ts=2018-01-16 05:46
    9k 2018/02/07 C:\cygwin64\bin\cyggccpp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggccpp-1.dll" v0.0 ts=2018-02-07 01:40
   70k 2018/01/20 C:\cygwin64\bin\cyggcc_s-seh-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcc_s-seh-1.dll" v0.0 ts=2018-01-20 06:53
  174k 2017/03/05 C:\cygwin64\bin\cyggck-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggck-1-0.dll" v0.0 ts=2017-03-05 21:04
  156k 2013/08/06 C:\cygwin64\bin\cyggconf-2-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggconf-2-4.dll" v0.0 ts=2013-08-06 20:22
  477k 2017/03/05 C:\cygwin64\bin\cyggcr-base-3-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcr-base-3-1.dll" v0.0 ts=2017-03-05 21:04
  367k 2017/03/05 C:\cygwin64\bin\cyggcr-ui-3-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcr-ui-3-1.dll" v0.0 ts=2017-03-05 21:05
  475k 2015/03/18 C:\cygwin64\bin\cyggcrypt-11.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcrypt-11.dll" v0.0 ts=2015-03-18 21:03
 1029k 2017/07/04 C:\cygwin64\bin\cyggcrypt-20.dll - os=4.0 img=0.0 sys=5.2
                  "cyggcrypt-20.dll" v0.0 ts=2017-07-05 00:34
  239k 2013/04/21 C:\cygwin64\bin\cyggd-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyggd-2.dll" v0.0 ts=2013-04-21 15:06
  351k 2017/08/30 C:\cygwin64\bin\cyggd-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggd-3.dll" v0.0 ts=2017-08-30 18:48
  755k 2017/05/08 C:\cygwin64\bin\cyggdata-22.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdata-22.dll" v0.0 ts=2017-05-08 03:08
   39k 2016/10/10 C:\cygwin64\bin\cyggdbm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm-4.dll" v0.0 ts=2016-10-10 09:26
   13k 2016/10/10 C:\cygwin64\bin\cyggdbm_compat-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdbm_compat-4.dll" v0.0 ts=2016-10-10 09:26
  687k 2017/09/04 C:\cygwin64\bin\cyggdk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-3-0.dll" v0.0 ts=2017-09-04 22:59
  608k 2016/12/09 C:\cygwin64\bin\cyggdk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk-x11-2.0-0.dll" v0.0 ts=2016-12-08 23:51
  139k 2017/09/04 C:\cygwin64\bin\cyggdk_pixbuf-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggdk_pixbuf-2.0-0.dll" v0.0 ts=2017-09-04 21:25
   69k 2015/04/15 C:\cygwin64\bin\cyggeoclue-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggeoclue-0.dll" v0.0 ts=2015-04-15 21:26
  203k 2016/10/23 C:\cygwin64\bin\cyggettextlib-0-19-8-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggettextlib-0-19-8-1.dll" v0.0 ts=2016-10-23 07:22
  302k 2016/10/23 C:\cygwin64\bin\cyggettextpo-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggettextpo-0.dll" v0.0 ts=2016-10-23 07:30
  272k 2016/10/23 C:\cygwin64\bin\cyggettextsrc-0-19-8-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggettextsrc-0-19-8-1.dll" v0.0 ts=2016-10-23 07:27
 1174k 2018/01/20 C:\cygwin64\bin\cyggfortran-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggfortran-3.dll" v0.0 ts=2018-01-20 08:02
   38k 2015/03/26 C:\cygwin64\bin\cyggg-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggg-1.dll" v0.0 ts=2015-03-26 13:30
   53k 2015/03/26 C:\cygwin64\bin\cygggi-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygggi-2.dll" v0.0 ts=2015-03-26 16:05
   13k 2015/03/26 C:\cygwin64\bin\cygggiwmh-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygggiwmh-0.dll" v0.0 ts=2015-03-26 16:13
   32k 2013/07/22 C:\cygwin64\bin\cyggif-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggif-4.dll" v0.0 ts=2013-07-22 05:17
   30k 2015/03/26 C:\cygwin64\bin\cyggii-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggii-1.dll" v0.0 ts=2015-03-26 13:31
 1364k 2017/09/04 C:\cygwin64\bin\cyggio-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggio-2.0-0.dll" v0.0 ts=2017-09-04 20:32
  506k 2018/01/19 C:\cygwin64\bin\cygGL-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygGL-1.dll" v0.0 ts=2018-01-19 17:56
  304k 2018/01/19 C:\cygwin64\bin\cygglapi-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglapi-0.dll" v0.0 ts=2018-01-19 17:42
  976k 2017/09/04 C:\cygwin64\bin\cygglib-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygglib-2.0-0.dll" v0.0 ts=2017-09-04 20:27
   15k 2017/09/04 C:\cygwin64\bin\cyggmodule-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmodule-2.0-0.dll" v0.0 ts=2017-09-04 20:27
  527k 2017/01/21 C:\cygwin64\bin\cyggmp-10.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmp-10.dll" v0.0 ts=2017-01-21 18:25
   21k 2017/01/21 C:\cygwin64\bin\cyggmpxx-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyggmpxx-4.dll" v0.0 ts=2017-01-21 18:25
   93k 2015/06/19 C:\cygwin64\bin\cyggnome-menu-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnome-menu-3-0.dll" v0.0 ts=2015-06-20 00:07
  938k 2017/05/02 C:\cygwin64\bin\cyggnutls-28.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-28.dll" v0.0 ts=2017-05-02 22:06
 1159k 2017/06/18 C:\cygwin64\bin\cyggnutls-30.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-30.dll" v0.0 ts=2017-06-19 00:33
   24k 2017/05/02 C:\cygwin64\bin\cyggnutls-openssl-27.dll - os=4.0 img=0.0 sys=5.2
                  "cyggnutls-openssl-27.dll" v0.0 ts=2017-05-02 22:06
  228k 2017/09/04 C:\cygwin64\bin\cyggoa-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggoa-1.0-0.dll" v0.0 ts=2017-09-04 23:58
  593k 2017/09/04 C:\cygwin64\bin\cyggoa-backend-1.0-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggoa-backend-1.0-1.dll" v0.0 ts=2017-09-05 00:04
  284k 2017/09/04 C:\cygwin64\bin\cyggobject-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggobject-2.0-0.dll" v0.0 ts=2017-09-04 20:28
  145k 2018/01/20 C:\cygwin64\bin\cyggomp-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyggomp-1.dll" v0.0 ts=2018-01-20 06:57
   73k 2017/05/10 C:\cygwin64\bin\cyggpg-error-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggpg-error-0.dll" v0.0 ts=2017-05-10 04:48
  177k 2017/09/04 C:\cygwin64\bin\cyggraphite2-3.dll - os=4.0 img=3.0 sys=5.2
                  "cyggraphite2-3.dll" v0.0 ts=2017-09-04 21:02
11797k 2018/02/12 C:\cygwin64\bin\cyggs-9.dll - os=4.0 img=0.0 sys=5.2
                  "cyggs-9.dll" v0.0 ts=2018-02-12 18:21
 2016k 2015/11/02 C:\cygwin64\bin\cyggsl-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggsl-0.dll" v0.0 ts=2015-11-02 07:12
 2222k 2017/03/24 C:\cygwin64\bin\cyggsl-19.dll - os=4.0 img=0.0 sys=5.2
                  "cyggsl-19.dll" v0.0 ts=2017-03-24 17:47
  253k 2015/11/02 C:\cygwin64\bin\cyggslcblas-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggslcblas-0.dll" v0.0 ts=2015-11-02 07:08
  198k 2014/03/20 C:\cygwin64\bin\cyggssapi-3.dll - os=4.0 img=0.0 sys=5.2
                  "cyggssapi-3.dll" v0.0 ts=2014-03-20 06:15
  261k 2017/10/26 C:\cygwin64\bin\cyggssapi_krb5-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyggssapi_krb5-2.dll" v0.0 ts=2017-10-26 07:34
   16k 2017/12/17 C:\cygwin64\bin\cyggstallocators-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstallocators-1.0-0.dll" v0.0 ts=2017-12-17 04:50
   58k 2017/12/17 C:\cygwin64\bin\cyggstapp-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstapp-1.0-0.dll" v0.0 ts=2017-12-17 04:47
  420k 2017/12/17 C:\cygwin64\bin\cyggstaudio-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstaudio-1.0-0.dll" v0.0 ts=2017-12-17 04:48
  392k 2017/12/17 C:\cygwin64\bin\cyggstbase-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstbase-1.0-0.dll" v0.0 ts=2017-12-17 03:48
   72k 2017/12/17 C:\cygwin64\bin\cyggstcontroller-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstcontroller-1.0-0.dll" v0.0 ts=2017-12-17 03:48
   46k 2017/12/17 C:\cygwin64\bin\cyggstfft-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstfft-1.0-0.dll" v0.0 ts=2017-12-17 04:47
   93k 2017/12/17 C:\cygwin64\bin\cyggstnet-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstnet-1.0-0.dll" v0.0 ts=2017-12-17 03:49
  215k 2017/12/17 C:\cygwin64\bin\cyggstpbutils-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstpbutils-1.0-0.dll" v0.0 ts=2017-12-17 04:49
 1174k 2017/12/17 C:\cygwin64\bin\cyggstreamer-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstreamer-1.0-0.dll" v0.0 ts=2017-12-17 03:47
   56k 2017/12/17 C:\cygwin64\bin\cyggstriff-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstriff-1.0-0.dll" v0.0 ts=2017-12-17 04:50
  120k 2017/12/17 C:\cygwin64\bin\cyggstrtp-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstrtp-1.0-0.dll" v0.0 ts=2017-12-17 04:48
  117k 2017/12/17 C:\cygwin64\bin\cyggstrtsp-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstrtsp-1.0-0.dll" v0.0 ts=2017-12-17 04:49
   90k 2017/12/17 C:\cygwin64\bin\cyggstsdp-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstsdp-1.0-0.dll" v0.0 ts=2017-12-17 04:49
  231k 2017/12/17 C:\cygwin64\bin\cyggsttag-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggsttag-1.0-0.dll" v0.0 ts=2017-12-17 04:47
  570k 2017/12/17 C:\cygwin64\bin\cyggstvideo-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggstvideo-1.0-0.dll" v0.0 ts=2017-12-17 04:47
    9k 2017/09/04 C:\cygwin64\bin\cyggthread-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggthread-2.0-0.dll" v0.0 ts=2017-09-04 20:27
 6631k 2017/09/04 C:\cygwin64\bin\cyggtk-3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-3-0.dll" v0.0 ts=2017-09-04 23:44
 3928k 2016/12/09 C:\cygwin64\bin\cyggtk-x11-2.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyggtk-x11-2.0-0.dll" v0.0 ts=2016-12-09 00:02
  732k 2017/04/01 C:\cygwin64\bin\cygguile-17.dll - os=4.0 img=0.0 sys=5.2
                  "cygguile-17.dll" v0.0 ts=2017-04-01 13:04
 1313k 2018/01/27 C:\cygwin64\bin\cygguile-2.0-22.dll - os=4.0 img=0.0 sys=5.2
                  "cygguile-2.0-22.dll" v0.0 ts=2018-01-27 07:41
  182k 2017/09/05 C:\cygwin64\bin\cyggvfscommon.dll - os=4.0 img=0.0 sys=5.2
                  "cyggvfscommon.dll" v0.0 ts=2017-09-05 05:41
  124k 2017/09/05 C:\cygwin64\bin\cyggvfsdaemon.dll - os=4.0 img=0.0 sys=5.2
                  "cyggvfsdaemon.dll" v0.0 ts=2017-09-05 05:44
  606k 2018/01/09 C:\cygwin64\bin\cygharfbuzz-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygharfbuzz-0.dll" v0.0 ts=2018-01-09 01:20
   11k 2018/01/09 C:\cygwin64\bin\cygharfbuzz-icu-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygharfbuzz-icu-0.dll" v0.0 ts=2018-01-09 01:20
   14k 2014/03/20 C:\cygwin64\bin\cygheimbase-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygheimbase-1.dll" v0.0 ts=2014-03-20 04:52
   24k 2014/03/20 C:\cygwin64\bin\cygheimntlm-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygheimntlm-0.dll" v0.0 ts=2014-03-20 06:13
   33k 2017/02/13 C:\cygwin64\bin\cyghistory7.dll - os=4.0 img=0.0 sys=5.2
                  "cyghistory7.dll" v0.0 ts=2017-02-11 22:39
  167k 2016/03/13 C:\cygwin64\bin\cyghogweed-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyghogweed-2.dll" v0.0 ts=2016-03-13 14:46
  155k 2017/05/02 C:\cygwin64\bin\cyghogweed-4.dll - os=4.0 img=0.0 sys=5.2
                  "cyghogweed-4.dll" v0.0 ts=2017-05-02 04:18
  305k 2015/08/28 C:\cygwin64\bin\cyghunspell-1.3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyghunspell-1.3-0.dll" v0.0 ts=2015-08-28 07:51
  378k 2016/10/28 C:\cygwin64\bin\cyghunspell-1.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyghunspell-1.4-0.dll" v0.0 ts=2016-10-28 19:59
  443k 2017/07/24 C:\cygwin64\bin\cyghunspell-1.6-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyghunspell-1.6-0.dll" v0.0 ts=2017-07-24 07:01
  167k 2017/09/08 C:\cygwin64\bin\cyghwloc-5.dll - os=4.0 img=0.0 sys=5.2
                  "cyghwloc-5.dll" v0.0 ts=2017-09-08 20:41
  236k 2014/03/20 C:\cygwin64\bin\cyghx509-5.dll - os=4.0 img=0.0 sys=5.2
                  "cyghx509-5.dll" v0.0 ts=2014-03-20 04:55
   77k 2014/08/10 C:\cygwin64\bin\cygICE-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygICE-6.dll" v0.0 ts=1970-01-01 00:00
 1009k 2015/02/20 C:\cygwin64\bin\cygiconv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygiconv-2.dll" v0.0 ts=2015-02-20 17:07
21806k 2013/06/25 C:\cygwin64\bin\cygicudata51.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata51.dll" v0.0 ts=2013-06-25 06:30
24750k 2015/02/16 C:\cygwin64\bin\cygicudata54.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata54.dll" v0.0 ts=2015-02-16 19:08
25310k 2015/05/04 C:\cygwin64\bin\cygicudata55.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata55.dll" v0.0 ts=2015-05-04 17:06
24466k 2015/10/08 C:\cygwin64\bin\cygicudata56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata56.dll" v0.0 ts=2015-10-08 13:46
25076k 2016/04/08 C:\cygwin64\bin\cygicudata57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata57.dll" v0.0 ts=2016-04-08 20:07
25607k 2016/12/10 C:\cygwin64\bin\cygicudata58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata58.dll" v0.0 ts=2016-12-10 16:53
25683k 2017/04/15 C:\cygwin64\bin\cygicudata59.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata59.dll" v0.0 ts=2017-04-15 18:04
26278k 2018/01/05 C:\cygwin64\bin\cygicudata60.dll - os=4.0 img=0.0 sys=5.2
                  "cygicudata60.dll" v0.0 ts=2018-01-05 15:06
 1717k 2013/06/25 C:\cygwin64\bin\cygicui18n51.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n51.dll" v0.0 ts=2013-06-25 06:28
 1951k 2015/02/16 C:\cygwin64\bin\cygicui18n54.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n54.dll" v0.0 ts=2015-02-16 19:06
 2005k 2015/05/04 C:\cygwin64\bin\cygicui18n55.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n55.dll" v0.0 ts=2015-05-04 17:03
 2093k 2015/10/08 C:\cygwin64\bin\cygicui18n56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n56.dll" v0.0 ts=2015-10-08 13:42
 2082k 2016/04/08 C:\cygwin64\bin\cygicui18n57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n57.dll" v0.0 ts=2016-04-08 20:04
 2091k 2016/12/10 C:\cygwin64\bin\cygicui18n58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n58.dll" v0.0 ts=2016-12-10 16:52
 2108k 2017/04/15 C:\cygwin64\bin\cygicui18n59.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n59.dll" v0.0 ts=2017-04-15 18:02
 2241k 2018/01/05 C:\cygwin64\bin\cygicui18n60.dll - os=4.0 img=0.0 sys=5.2
                  "cygicui18n60.dll" v0.0 ts=2018-01-05 15:01
   43k 2013/06/25 C:\cygwin64\bin\cygicuio51.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio51.dll" v0.0 ts=2013-06-25 06:30
   44k 2015/02/16 C:\cygwin64\bin\cygicuio54.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio54.dll" v0.0 ts=2015-02-16 19:07
   44k 2015/05/04 C:\cygwin64\bin\cygicuio55.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio55.dll" v0.0 ts=2015-05-04 17:04
   44k 2015/10/08 C:\cygwin64\bin\cygicuio56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio56.dll" v0.0 ts=2015-10-08 13:44
   44k 2016/04/08 C:\cygwin64\bin\cygicuio57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio57.dll" v0.0 ts=2016-04-08 20:05
   44k 2016/12/10 C:\cygwin64\bin\cygicuio58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio58.dll" v0.0 ts=2016-12-10 16:52
   45k 2017/04/15 C:\cygwin64\bin\cygicuio59.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio59.dll" v0.0 ts=2017-04-15 18:02
   45k 2018/01/05 C:\cygwin64\bin\cygicuio60.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuio60.dll" v0.0 ts=2018-01-05 15:01
  305k 2013/06/25 C:\cygwin64\bin\cygicule51.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule51.dll" v0.0 ts=2013-06-25 06:28
  305k 2015/02/16 C:\cygwin64\bin\cygicule54.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule54.dll" v0.0 ts=2015-02-16 19:06
  306k 2015/05/04 C:\cygwin64\bin\cygicule55.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule55.dll" v0.0 ts=2015-05-04 17:04
  306k 2015/10/08 C:\cygwin64\bin\cygicule56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule56.dll" v0.0 ts=2015-10-08 13:44
  298k 2016/04/08 C:\cygwin64\bin\cygicule57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicule57.dll" v0.0 ts=2016-04-08 20:05
   38k 2013/06/25 C:\cygwin64\bin\cygiculx51.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx51.dll" v0.0 ts=2013-06-25 06:28
   38k 2015/02/16 C:\cygwin64\bin\cygiculx54.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx54.dll" v0.0 ts=2015-02-16 19:06
   38k 2015/05/04 C:\cygwin64\bin\cygiculx55.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx55.dll" v0.0 ts=2015-05-04 17:04
   38k 2015/10/08 C:\cygwin64\bin\cygiculx56.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx56.dll" v0.0 ts=2015-10-08 13:44
   38k 2016/04/08 C:\cygwin64\bin\cygiculx57.dll - os=4.0 img=0.0 sys=5.2
                  "cygiculx57.dll" v0.0 ts=2016-04-08 20:05
 1231k 2013/06/25 C:\cygwin64\bin\cygicuuc51.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc51.dll" v0.0 ts=2013-06-25 06:27
 1311k 2015/02/16 C:\cygwin64\bin\cygicuuc54.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc54.dll" v0.0 ts=2015-02-16 19:05
 1326k 2015/05/04 C:\cygwin64\bin\cygicuuc55.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc55.dll" v0.0 ts=2015-05-04 17:03
 1348k 2015/10/08 C:\cygwin64\bin\cygicuuc56.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc56.dll" v0.0 ts=2015-10-08 13:41
 1400k 2016/04/08 C:\cygwin64\bin\cygicuuc57.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc57.dll" v0.0 ts=2016-04-08 20:03
 1422k 2016/12/10 C:\cygwin64\bin\cygicuuc58.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc58.dll" v0.0 ts=2016-12-10 16:51
 1441k 2017/04/15 C:\cygwin64\bin\cygicuuc59.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc59.dll" v0.0 ts=2017-04-15 18:01
 1469k 2018/01/05 C:\cygwin64\bin\cygicuuc60.dll - os=4.0 img=0.0 sys=5.2
                  "cygicuuc60.dll" v0.0 ts=2018-01-05 14:58
  197k 2017/05/02 C:\cygwin64\bin\cygidn-11.dll - os=4.0 img=0.0 sys=5.2
                  "cygidn-11.dll" v0.0 ts=2017-05-02 01:18
  111k 2017/09/25 C:\cygwin64\bin\cygidn2-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygidn2-0.dll" v0.0 ts=2017-09-25 03:16
   54k 2017/07/06 C:\cygwin64\bin\cygimagequant-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygimagequant-0.dll" v0.0 ts=2017-07-06 18:49
   42k 2016/10/23 C:\cygwin64\bin\cygintl-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygintl-8.dll" v0.0 ts=2016-10-23 07:17
  888k 2013/05/05 C:\cygwin64\bin\cygisl-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-10.dll" v0.0 ts=2013-05-05 16:36
 1039k 2015/09/20 C:\cygwin64\bin\cygisl-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-13.dll" v0.0 ts=2015-09-20 12:39
 1304k 2017/11/04 C:\cygwin64\bin\cygisl-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygisl-15.dll" v0.0 ts=2017-11-04 20:36
  284k 2017/01/03 C:\cygwin64\bin\cygjasper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygjasper-1.dll" v0.0 ts=2017-01-03 14:07
  288k 2017/09/25 C:\cygwin64\bin\cygjasper-4.dll - os=4.0 img=4.0 sys=5.2
                  "cygjasper-4.dll" v0.0 ts=2017-09-25 02:39
 3433k 2015/11/20 C:\cygwin64\bin\cygjavascriptcoregtk-3.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygjavascriptcoregtk-3.0-0.dll" v0.0 ts=2015-11-20 11:59
   48k 2014/06/17 C:\cygwin64\bin\cygjbig-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig-2.dll" v0.0 ts=1970-01-01 00:00
   18k 2014/06/17 C:\cygwin64\bin\cygjbig85-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygjbig85-2.dll" v0.0 ts=1970-01-01 00:00
  419k 2017/12/18 C:\cygwin64\bin\cygjpeg-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygjpeg-8.dll" v0.0 ts=2017-12-18 02:41
  136k 2017/03/13 C:\cygwin64\bin\cygjson-glib-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygjson-glib-1.0-0.dll" v0.0 ts=2017-03-13 20:21
  187k 2017/10/26 C:\cygwin64\bin\cygk5crypto-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygk5crypto-3.dll" v0.0 ts=2017-10-26 07:33
   23k 2014/03/20 C:\cygwin64\bin\cygkafs-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygkafs-0.dll" v0.0 ts=2014-03-20 06:13
   85k 2017/06/13 C:\cygwin64\bin\cygkpathsea-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygkpathsea-6.dll" v0.0 ts=2017-06-13 15:37
  403k 2014/03/20 C:\cygwin64\bin\cygkrb5-26.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5-26.dll" v0.0 ts=2014-03-20 06:12
  732k 2017/10/26 C:\cygwin64\bin\cygkrb5-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5-3.dll" v0.0 ts=2017-10-26 07:34
   36k 2017/10/26 C:\cygwin64\bin\cygkrb5support-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygkrb5support-0.dll" v0.0 ts=2017-10-26 07:33
   45k 2015/11/19 C:\cygwin64\bin\cyglber-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglber-2-4-2.dll" v0.0 ts=2015-11-19 14:17
  303k 2017/11/27 C:\cygwin64\bin\cyglcms2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglcms2-2.dll" v0.0 ts=2017-11-27 15:44
  246k 2015/11/19 C:\cygwin64\bin\cygldap-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap-2-4-2.dll" v0.0 ts=2015-11-19 14:18
  262k 2015/11/19 C:\cygwin64\bin\cygldap_r-2-4-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygldap_r-2-4-2.dll" v0.0 ts=2015-11-19 14:19
17466k 2015/02/08 C:\cygwin64\bin\cygLLVM-3.4.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.4.dll" v0.0 ts=2015-02-08 17:48
18282k 2016/03/30 C:\cygwin64\bin\cygLLVM-3.5.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.5.dll" v0.0 ts=2016-03-30 03:42
26685k 2016/07/21 C:\cygwin64\bin\cygLLVM-3.8.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.8.dll" v0.0 ts=2016-07-21 04:07
29379k 2017/02/20 C:\cygwin64\bin\cygLLVM-3.9.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-3.9.dll" v0.0 ts=2017-02-20 16:42
32037k 2017/06/26 C:\cygwin64\bin\cygLLVM-4.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-4.0.dll" v0.0 ts=2017-06-26 01:32
36141k 2017/12/31 C:\cygwin64\bin\cygLLVM-5.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygLLVM-5.0.dll" v0.0 ts=2017-12-31 10:34
  337k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64AsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64AsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  379k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1136k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  418k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
  123k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Disassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Disassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Info-3.7.dll" v0.0 ts=2016-02-10 21:52
   48k 2016/02/11 C:\cygwin64\bin\cygLLVMAArch64Utils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAArch64Utils-3.7.dll" v0.0 ts=2016-02-10 21:52
  259k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  147k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1054k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  804k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMAMDGPUUtils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAMDGPUUtils-3.7.dll" v0.0 ts=2016-02-10 21:52
 1659k 2016/02/11 C:\cygwin64\bin\cygLLVMAnalysis-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAnalysis-3.7.dll" v0.0 ts=2016-02-10 21:53
  438k 2016/02/11 C:\cygwin64\bin\cygLLVMARMAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  106k 2016/02/11 C:\cygwin64\bin\cygLLVMARMAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
 1400k 2016/02/11 C:\cygwin64\bin\cygLLVMARMCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  668k 2016/02/11 C:\cygwin64\bin\cygLLVMARMDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
  205k 2016/02/11 C:\cygwin64\bin\cygLLVMARMDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMARMInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMARMInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  250k 2016/02/11 C:\cygwin64\bin\cygLLVMAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
  443k 2016/02/11 C:\cygwin64\bin\cygLLVMAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:55
  190k 2016/02/11 C:\cygwin64\bin\cygLLVMBitReader-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBitReader-3.7.dll" v0.0 ts=2016-02-10 21:53
  146k 2016/02/11 C:\cygwin64\bin\cygLLVMBitWriter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBitWriter-3.7.dll" v0.0 ts=2016-02-10 21:53
   14k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  122k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
   29k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMBPFInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMBPFInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
 2671k 2016/02/11 C:\cygwin64\bin\cygLLVMCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:54
 2019k 2016/02/11 C:\cygwin64\bin\cygLLVMCore-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCore-3.7.dll" v0.0 ts=2016-02-10 21:52
  102k 2016/02/11 C:\cygwin64\bin\cygLLVMCppBackendCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCppBackendCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMCppBackendInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMCppBackendInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  175k 2016/02/11 C:\cygwin64\bin\cygLLVMDebugInfoDWARF-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMDebugInfoDWARF-3.7.dll" v0.0 ts=2016-02-10 21:53
   95k 2016/02/11 C:\cygwin64\bin\cygLLVMDebugInfoPDB-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMDebugInfoPDB-3.7.dll" v0.0 ts=2016-02-10 21:53
  113k 2016/02/11 C:\cygwin64\bin\cygLLVMExecutionEngine-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMExecutionEngine-3.7.dll" v0.0 ts=2016-02-10 21:53
  765k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  379k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   67k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMHexagonInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMHexagonInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  750k 2016/02/11 C:\cygwin64\bin\cygLLVMInstCombine-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInstCombine-3.7.dll" v0.0 ts=2016-02-10 21:53
  388k 2016/02/11 C:\cygwin64\bin\cygLLVMInstrumentation-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInstrumentation-3.7.dll" v0.0 ts=2016-02-10 21:53
  136k 2016/02/11 C:\cygwin64\bin\cygLLVMInterpreter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMInterpreter-3.7.dll" v0.0 ts=2016-02-10 21:55
  141k 2016/02/11 C:\cygwin64\bin\cygLLVMipa-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMipa-3.7.dll" v0.0 ts=2016-02-10 21:53
  405k 2016/02/11 C:\cygwin64\bin\cygLLVMipo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMipo-3.7.dll" v0.0 ts=2016-02-10 21:54
   18k 2016/02/11 C:\cygwin64\bin\cygLLVMIRReader-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMIRReader-3.7.dll" v0.0 ts=2016-02-10 21:53
   19k 2016/02/11 C:\cygwin64\bin\cygLLVMLibDriver-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLibDriver-3.7.dll" v0.0 ts=2016-02-10 21:53
   16k 2016/02/11 C:\cygwin64\bin\cygLLVMLineEditor-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLineEditor-3.7.dll" v0.0 ts=2016-02-10 21:52
   82k 2016/02/11 C:\cygwin64\bin\cygLLVMLinker-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLinker-3.7.dll" v0.0 ts=2016-02-10 21:53
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMLTO-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMLTO-3.7.dll" v0.0 ts=2016-02-10 21:55
  447k 2016/02/11 C:\cygwin64\bin\cygLLVMMC-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMC-3.7.dll" v0.0 ts=2016-02-10 21:52
   23k 2016/02/11 C:\cygwin64\bin\cygLLVMMCDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:52
   39k 2016/02/11 C:\cygwin64\bin\cygLLVMMCJIT-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCJIT-3.7.dll" v0.0 ts=2016-02-10 21:53
  171k 2016/02/11 C:\cygwin64\bin\cygLLVMMCParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMCParser-3.7.dll" v0.0 ts=2016-02-10 21:52
  157k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   49k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  909k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  334k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   74k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMMipsInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMipsInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
   85k 2016/02/11 C:\cygwin64\bin\cygLLVMMIRParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMIRParser-3.7.dll" v0.0 ts=2016-02-10 21:55
   15k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  178k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
   31k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMMSP430Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMMSP430Info-3.7.dll" v0.0 ts=2016-02-10 21:52
   92k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:52
  596k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  241k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMNVPTXInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMNVPTXInfo-3.7.dll" v0.0 ts=2016-02-10 21:52
  139k 2016/02/11 C:\cygwin64\bin\cygLLVMObjCARCOpts-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMObjCARCOpts-3.7.dll" v0.0 ts=2016-02-10 21:53
  412k 2016/02/11 C:\cygwin64\bin\cygLLVMObject-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMObject-3.7.dll" v0.0 ts=2016-02-10 21:53
   46k 2016/02/11 C:\cygwin64\bin\cygLLVMOption-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMOption-3.7.dll" v0.0 ts=2016-02-10 21:52
  106k 2016/02/11 C:\cygwin64\bin\cygLLVMOrcJIT-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMOrcJIT-3.7.dll" v0.0 ts=2016-02-10 21:53
  137k 2016/02/11 C:\cygwin64\bin\cygLLVMPasses-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPasses-3.7.dll" v0.0 ts=2016-02-10 21:54
  105k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   95k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
 1057k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  283k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   60k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMPowerPCInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMPowerPCInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  153k 2016/02/11 C:\cygwin64\bin\cygLLVMProfileData-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMProfileData-3.7.dll" v0.0 ts=2016-02-10 21:53
  267k 2016/02/11 C:\cygwin64\bin\cygLLVMRuntimeDyld-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMRuntimeDyld-3.7.dll" v0.0 ts=2016-02-10 21:53
 1418k 2016/02/11 C:\cygwin64\bin\cygLLVMScalarOpts-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMScalarOpts-3.7.dll" v0.0 ts=2016-02-10 21:54
 2272k 2016/02/11 C:\cygwin64\bin\cygLLVMSelectionDAG-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSelectionDAG-3.7.dll" v0.0 ts=2016-02-10 21:55
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   62k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  273k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  103k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   25k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
   10k 2016/02/11 C:\cygwin64\bin\cygLLVMSparcInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSparcInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  725k 2016/02/11 C:\cygwin64\bin\cygLLVMSupport-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSupport-3.7.dll" v0.0 ts=2016-02-10 21:52
   66k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZAsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZAsmParser-3.7.dll" v0.0 ts=2016-02-10 21:53
   32k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  533k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
  170k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   51k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMSystemZInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMSystemZInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
  233k 2016/02/11 C:\cygwin64\bin\cygLLVMTableGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTableGen-3.7.dll" v0.0 ts=2016-02-10 21:52
   49k 2016/02/11 C:\cygwin64\bin\cygLLVMTarget-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTarget-3.7.dll" v0.0 ts=2016-02-10 21:53
  794k 2016/02/11 C:\cygwin64\bin\cygLLVMTransformUtils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMTransformUtils-3.7.dll" v0.0 ts=2016-02-10 21:53
  332k 2016/02/11 C:\cygwin64\bin\cygLLVMVectorize-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMVectorize-3.7.dll" v0.0 ts=2016-02-10 21:53
  584k 2016/02/11 C:\cygwin64\bin\cygLLVMX86AsmParser-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86AsmParser-3.7.dll" v0.0 ts=2016-02-10 21:56
  271k 2016/02/11 C:\cygwin64\bin\cygLLVMX86AsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86AsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
 2050k 2016/02/11 C:\cygwin64\bin\cygLLVMX86CodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86CodeGen-3.7.dll" v0.0 ts=2016-02-10 21:55
 1377k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Desc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Desc-3.7.dll" v0.0 ts=2016-02-10 21:53
 1408k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Disassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Disassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Info-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Info-3.7.dll" v0.0 ts=2016-02-10 21:53
   33k 2016/02/11 C:\cygwin64\bin\cygLLVMX86Utils-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMX86Utils-3.7.dll" v0.0 ts=2016-02-10 21:53
   17k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreAsmPrinter-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreAsmPrinter-3.7.dll" v0.0 ts=2016-02-10 21:53
  322k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreCodeGen-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreCodeGen-3.7.dll" v0.0 ts=2016-02-10 21:56
   40k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreDesc-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreDesc-3.7.dll" v0.0 ts=2016-02-10 21:53
   22k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreDisassembler-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreDisassembler-3.7.dll" v0.0 ts=2016-02-10 21:53
    9k 2016/02/11 C:\cygwin64\bin\cygLLVMXCoreInfo-3.7.dll - os=4.0 img=3.7 sys=5.2
                  "cygLLVMXCoreInfo-3.7.dll" v0.0 ts=2016-02-10 21:53
    6k 2018/02/02 C:\cygwin64\bin\cyglsa64.dll - os=4.0 img=0.0 sys=5.2
                  "cyglsa64.dll" v0.0 ts=2018-02-02 14:16
   35k 2017/09/20 C:\cygwin64\bin\cygltdl-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygltdl-7.dll" v0.0 ts=2017-09-20 04:46
  146k 2015/08/23 C:\cygwin64\bin\cyglua-5.1.dll - os=4.0 img=0.0 sys=5.2
                  "cyglua-5.1.dll" v0.0 ts=2015-08-23 17:43
  162k 2015/06/04 C:\cygwin64\bin\cyglua-5.2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglua-5.2.dll" v0.0 ts=2015-06-04 17:31
   41k 2015/10/26 C:\cygwin64\bin\cyglz4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyglz4-1.dll" v0.0 ts=2015-10-26 18:55
  139k 2017/05/10 C:\cygwin64\bin\cyglzma-5.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzma-5.dll" v0.0 ts=2017-05-10 01:17
  129k 2017/10/05 C:\cygwin64\bin\cyglzo2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cyglzo2-2.dll" v0.0 ts=2017-10-05 19:40
  147k 2015/02/13 C:\cygwin64\bin\cygm17n-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-0.dll" v0.0 ts=2015-02-13 03:39
  108k 2015/02/13 C:\cygwin64\bin\cygm17n-core-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-core-0.dll" v0.0 ts=2015-02-13 03:39
   39k 2015/02/13 C:\cygwin64\bin\cygm17n-flt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-flt-0.dll" v0.0 ts=2015-02-13 03:39
  136k 2015/02/13 C:\cygwin64\bin\cygm17n-gui-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygm17n-gui-0.dll" v0.0 ts=2015-02-13 03:39
  121k 2017/05/03 C:\cygwin64\bin\cygmagic-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmagic-1.dll" v0.0 ts=2017-05-03 18:25
  291k 2013/10/12 C:\cygwin64\bin\cygMagick++-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagick++-5.dll" v0.0 ts=2013-10-12 18:21
  346k 2015/02/11 C:\cygwin64\bin\cygMagick++-6.Q16-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagick++-6.Q16-5.dll" v0.0 ts=2015-02-10 23:24
  353k 2016/12/23 C:\cygwin64\bin\cygMagick++-6.Q16-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagick++-6.Q16-6.dll" v0.0 ts=2016-12-23 07:21
 4157k 2013/10/12 C:\cygwin64\bin\cygMagickCore-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickCore-5.dll" v0.0 ts=2013-10-12 18:17
 4498k 2016/12/23 C:\cygwin64\bin\cygMagickCore-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickCore-6.Q16-2.dll" v0.0 ts=2016-12-23 07:18
 4513k 2017/09/30 C:\cygwin64\bin\cygMagickCore-6.Q16-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickCore-6.Q16-5.dll" v0.0 ts=2017-09-30 12:24
 1088k 2013/10/12 C:\cygwin64\bin\cygMagickWand-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickWand-5.dll" v0.0 ts=2013-10-12 18:19
 1150k 2016/12/23 C:\cygwin64\bin\cygMagickWand-6.Q16-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickWand-6.Q16-2.dll" v0.0 ts=2016-12-23 07:20
 1166k 2017/09/30 C:\cygwin64\bin\cygMagickWand-6.Q16-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygMagickWand-6.Q16-5.dll" v0.0 ts=2017-09-30 12:25
  168k 2018/01/23 C:\cygwin64\bin\cygman-2-7-6-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygman-2-7-6-1.dll" v0.0 ts=2018-01-23 20:22
   22k 2018/01/23 C:\cygwin64\bin\cygmandb-2-7-6-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmandb-2-7-6-1.dll" v0.0 ts=2018-01-23 20:22
  132k 2014/01/21 C:\cygwin64\bin\cygmcpp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygmcpp-0.dll" v0.0 ts=2014-01-21 18:41
   33k 2017/12/05 C:\cygwin64\bin\cygmenuw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygmenuw-10.dll" v0.0 ts=2017-12-05 08:15
  327k 2017/09/28 C:\cygwin64\bin\cygming-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygming-1.dll" v0.0 ts=2017-09-28 09:22
   89k 2015/03/01 C:\cygwin64\bin\cygmpc-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpc-3.dll" v0.0 ts=2015-03-01 20:24
  428k 2018/02/18 C:\cygwin64\bin\cygmpfr-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpfr-6.dll" v0.0 ts=2018-02-18 14:45
 2309k 2015/08/08 C:\cygwin64\bin\cygmpi-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi-1.dll" v0.0 ts=2015-08-08 16:52
 2326k 2017/05/26 C:\cygwin64\bin\cygmpi-12.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi-12.dll" v0.0 ts=2017-05-25 20:08
   70k 2017/05/26 C:\cygwin64\bin\cygmpi_cxx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_cxx-1.dll" v0.0 ts=2017-05-25 20:09
  768k 2017/05/26 C:\cygwin64\bin\cygmpi_mpifh-12.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_mpifh-12.dll" v0.0 ts=2017-05-25 21:43
  766k 2015/08/08 C:\cygwin64\bin\cygmpi_mpifh-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_mpifh-2.dll" v0.0 ts=2015-08-08 17:14
    9k 2015/08/08 C:\cygwin64\bin\cygmpi_usempi-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_usempi-1.dll" v0.0 ts=2015-08-08 17:14
  146k 2015/08/08 C:\cygwin64\bin\cygmpi_usempif08-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_usempif08-0.dll" v0.0 ts=2015-08-08 17:22
  148k 2017/05/26 C:\cygwin64\bin\cygmpi_usempif08-11.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_usempif08-11.dll" v0.0 ts=2017-05-25 22:01
   19k 2015/08/08 C:\cygwin64\bin\cygmpi_usempi_ignore_tkr-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_usempi_ignore_tkr-0.dll" v0.0 ts=2015-08-08 17:14
   19k 2017/05/26 C:\cygwin64\bin\cygmpi_usempi_ignore_tkr-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygmpi_usempi_ignore_tkr-6.dll" v0.0 ts=2017-05-25 21:44
  121k 2016/11/06 C:\cygwin64\bin\cygMrm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygMrm-4.dll" v0.0 ts=2016-11-06 22:26
  493k 2017/12/22 C:\cygwin64\bin\cygnativeGLthunk.dll - os=4.0 img=0.0 sys=5.2
                  "cygnativeGLthunk.dll" v0.0 ts=2017-12-22 19:57
  317k 2017/12/05 C:\cygwin64\bin\cygncursesw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygncursesw-10.dll" v0.0 ts=2017-12-05 08:13
  180k 2016/03/13 C:\cygwin64\bin\cygnettle-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygnettle-4.dll" v0.0 ts=2016-03-13 14:46
  203k 2017/05/02 C:\cygwin64\bin\cygnettle-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygnettle-6.dll" v0.0 ts=2017-05-02 04:18
  165k 2016/11/17 C:\cygwin64\bin\cygnfs-8.dll - os=4.0 img=0.0 sys=5.2
                  "cygnfs-8.dll" v0.0 ts=2016-11-17 00:38
  138k 2017/06/18 C:\cygwin64\bin\cygnghttp2-14.dll - os=4.0 img=0.0 sys=5.2
                  "cygnghttp2-14.dll" v0.0 ts=2017-06-18 20:48
  222k 2017/04/30 C:\cygwin64\bin\cygnspr4.dll - os=4.0 img=0.0 sys=5.2
                  "cygnspr4.dll" v0.0 ts=2017-04-30 07:52
 1291k 2017/04/30 C:\cygwin64\bin\cygnss3.dll - os=4.0 img=0.0 sys=5.2
                  "cygnss3.dll" v0.0 ts=2017-04-30 21:07
  165k 2017/04/30 C:\cygwin64\bin\cygnssdbm3.dll - os=4.0 img=0.0 sys=5.2
                  "cygnssdbm3.dll" v0.0 ts=2017-04-30 21:05
  154k 2017/04/30 C:\cygwin64\bin\cygnssutil3.dll - os=4.0 img=0.0 sys=5.2
                  "cygnssutil3.dll" v0.0 ts=2017-04-30 21:04
   28k 2014/05/19 C:\cygwin64\bin\cygoauth-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygoauth-0.dll" v0.0 ts=1970-01-01 00:00
  113k 2018/01/20 C:\cygwin64\bin\cygobjc-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygobjc-4.dll" v0.0 ts=2018-01-20 07:37
  695k 2017/05/26 C:\cygwin64\bin\cygopen-pal-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygopen-pal-13.dll" v0.0 ts=2017-05-25 19:10
  799k 2017/05/26 C:\cygwin64\bin\cygopen-rte-12.dll - os=4.0 img=0.0 sys=5.2
                  "cygopen-rte-12.dll" v0.0 ts=2017-05-25 19:20
  275k 2017/09/25 C:\cygwin64\bin\cygopenjp2-7.dll - os=4.0 img=2.2 sys=5.2
                  "cygopenjp2-7.dll" v0.0 ts=2017-09-25 03:23
  126k 2016/03/04 C:\cygwin64\bin\cygopenjpeg-1.dll - os=4.0 img=1.5 sys=5.2
                  "cygopenjpeg-1.dll" v0.0 ts=2016-03-04 16:09
   30k 2015/01/13 C:\cygwin64\bin\cygOpenVG-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygOpenVG-1.dll" v0.0 ts=2015-01-13 09:53
  521k 2017/12/05 C:\cygwin64\bin\cygorc-0.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygorc-0.4-0.dll" v0.0 ts=2017-12-05 08:11
   30k 2017/12/05 C:\cygwin64\bin\cygorc-test-0.4-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygorc-test-0.4-0.dll" v0.0 ts=2017-12-05 08:11
   78k 2013/10/31 C:\cygwin64\bin\cygotf-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygotf-0.dll" v0.0 ts=2013-10-31 02:30
 1003k 2017/04/28 C:\cygwin64\bin\cygp11-kit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygp11-kit-0.dll" v0.0 ts=2017-04-28 05:23
   15k 2017/12/05 C:\cygwin64\bin\cygpanelw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygpanelw-10.dll" v0.0 ts=2017-12-05 08:14
  267k 2017/09/04 C:\cygwin64\bin\cygpango-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpango-1.0-0.dll" v0.0 ts=2017-09-04 21:55
   43k 2017/09/04 C:\cygwin64\bin\cygpangocairo-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangocairo-1.0-0.dll" v0.0 ts=2017-09-04 21:56
   70k 2017/09/04 C:\cygwin64\bin\cygpangoft2-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoft2-1.0-0.dll" v0.0 ts=2017-09-04 21:56
   28k 2017/09/04 C:\cygwin64\bin\cygpangoxft-1.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpangoxft-1.0-0.dll" v0.0 ts=2017-09-04 21:56
   11k 2013/07/23 C:\cygwin64\bin\cygpaper-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpaper-1.dll" v0.0 ts=2013-07-23 05:40
  475k 2017/04/23 C:\cygwin64\bin\cygpcre-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre-1.dll" v0.0 ts=2017-04-24 00:50
  441k 2017/04/23 C:\cygwin64\bin\cygpcre16-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre16-0.dll" v0.0 ts=2017-04-24 00:50
  465k 2017/04/24 C:\cygwin64\bin\cygpcre2-16-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre2-16-0.dll" v0.0 ts=2017-04-24 04:23
  428k 2017/04/23 C:\cygwin64\bin\cygpcre32-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcre32-0.dll" v0.0 ts=2017-04-24 00:50
   31k 2017/04/23 C:\cygwin64\bin\cygpcrecpp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcrecpp-0.dll" v0.0 ts=2017-04-24 00:50
   11k 2017/04/23 C:\cygwin64\bin\cygpcreposix-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpcreposix-0.dll" v0.0 ts=2017-04-24 00:50
 2186k 2017/09/26 C:\cygwin64\bin\cygperl5_26.dll - os=4.0 img=0.0 sys=5.2
                  "cygperl5_26.dll" v0.0 ts=2017-09-26 17:58
   39k 2015/04/09 C:\cygwin64\bin\cygpipeline-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygpipeline-1.dll" v0.0 ts=2015-04-09 21:04
  638k 2016/08/08 C:\cygwin64\bin\cygpixman-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpixman-1-0.dll" v0.0 ts=2016-08-08 02:51
   17k 2017/04/30 C:\cygwin64\bin\cygplc4.dll - os=4.0 img=0.0 sys=5.2
                  "cygplc4.dll" v0.0 ts=2017-04-30 07:52
   14k 2017/04/30 C:\cygwin64\bin\cygplds4.dll - os=4.0 img=0.0 sys=5.2
                  "cygplds4.dll" v0.0 ts=2017-04-30 07:52
 1000k 2016/04/19 C:\cygwin64\bin\cygplotter-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygplotter-2.dll" v0.0 ts=2016-04-18 09:28
  149k 2015/04/13 C:\cygwin64\bin\cygpng15-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygpng15-15.dll" v0.0 ts=2015-04-13 19:37
  200k 2017/12/18 C:\cygwin64\bin\cygpng16-16.dll - os=4.0 img=0.0 sys=5.2
                  "cygpng16-16.dll" v0.0 ts=2017-12-18 01:48
 1847k 2013/06/05 C:\cygwin64\bin\cygpoppler-28.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-28.dll" v0.0 ts=2013-06-05 06:22
 1897k 2014/02/23 C:\cygwin64\bin\cygpoppler-44.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-44.dll" v0.0 ts=2014-02-23 20:36
 2003k 2015/04/13 C:\cygwin64\bin\cygpoppler-46.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-46.dll" v0.0 ts=2015-04-13 18:12
 2049k 2015/04/28 C:\cygwin64\bin\cygpoppler-49.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-49.dll" v0.0 ts=2015-04-28 19:39
 1994k 2016/05/05 C:\cygwin64\bin\cygpoppler-55.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-55.dll" v0.0 ts=2016-05-05 03:47
 2028k 2016/11/10 C:\cygwin64\bin\cygpoppler-62.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-62.dll" v0.0 ts=2016-11-10 09:04
 2050k 2017/11/07 C:\cygwin64\bin\cygpoppler-66.dll - os=4.0 img=0.0 sys=5.2
                  "cygpoppler-66.dll" v0.0 ts=2017-11-07 01:26
   41k 2016/07/13 C:\cygwin64\bin\cygpopt-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpopt-0.dll" v0.0 ts=2016-07-13 06:16
   32k 2017/03/14 C:\cygwin64\bin\cygpotrace-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpotrace-0.dll" v0.0 ts=2017-03-14 22:05
  844k 2013/05/05 C:\cygwin64\bin\cygppl-9.dll - os=4.0 img=0.0 sys=5.2
                  "cygppl-9.dll" v0.0 ts=2013-05-05 13:53
 2956k 2013/05/05 C:\cygwin64\bin\cygppl_c-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygppl_c-4.dll" v0.0 ts=2013-05-05 13:54
  109k 2017/09/27 C:\cygwin64\bin\cygproxy-1.dll - os=4.0 img=1.0 sys=5.2
                  "cygproxy-1.dll" v0.0 ts=2017-09-27 17:56
   53k 2017/08/11 C:\cygwin64\bin\cygpsl-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpsl-5.dll" v0.0 ts=2017-08-11 06:56
    8k 2013/04/30 C:\cygwin64\bin\cygpspell-15.dll - os=4.0 img=0.0 sys=5.2
                  "cygpspell-15.dll" v0.0 ts=2013-04-30 08:12
  362k 2016/06/29 C:\cygwin64\bin\cygpstoedit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygpstoedit-0.dll" v0.0 ts=2016-06-29 07:35
   39k 2017/06/13 C:\cygwin64\bin\cygptexenc-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygptexenc-1.dll" v0.0 ts=2017-06-13 15:38
   15k 2013/05/05 C:\cygwin64\bin\cygpwl-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygpwl-5.dll" v0.0 ts=2013-05-05 13:53
   21k 2018/01/09 C:\cygwin64\bin\cygQt5Concurrent-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Concurrent-5.dll" v0.0 ts=2018-01-09 08:20
 4200k 2018/01/09 C:\cygwin64\bin\cygQt5Core-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Core-5.dll" v0.0 ts=2018-01-09 08:18
  496k 2018/01/09 C:\cygwin64\bin\cygQt5DBus-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5DBus-5.dll" v0.0 ts=2018-01-09 08:24
 4201k 2018/01/09 C:\cygwin64\bin\cygQt5Gui-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Gui-5.dll" v0.0 ts=2018-01-09 08:32
 1447k 2018/01/09 C:\cygwin64\bin\cygQt5Network-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Network-5.dll" v0.0 ts=2018-01-09 08:30
  287k 2018/01/09 C:\cygwin64\bin\cygQt5OpenGL-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5OpenGL-5.dll" v0.0 ts=2018-01-09 08:46
  332k 2018/01/09 C:\cygwin64\bin\cygQt5PrintSupport-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5PrintSupport-5.dll" v0.0 ts=2018-01-09 08:46
  342k 2017/12/10 C:\cygwin64\bin\cygQt5Svg-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Svg-5.dll" v0.0 ts=2017-12-10 09:02
  169k 2018/01/09 C:\cygwin64\bin\cygQt5Test-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Test-5.dll" v0.0 ts=2018-01-09 08:46
 5409k 2018/01/09 C:\cygwin64\bin\cygQt5Widgets-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Widgets-5.dll" v0.0 ts=2018-01-09 08:43
  888k 2018/01/09 C:\cygwin64\bin\cygQt5XcbQpa-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5XcbQpa-5.dll" v0.0 ts=2018-01-09 08:50
  193k 2018/01/09 C:\cygwin64\bin\cygQt5Xml-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygQt5Xml-5.dll" v0.0 ts=2018-01-09 08:20
  308k 2018/01/20 C:\cygwin64\bin\cygquadmath-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygquadmath-0.dll" v0.0 ts=2018-01-20 07:41
  219k 2017/02/13 C:\cygwin64\bin\cygreadline7.dll - os=4.0 img=0.0 sys=5.2
                  "cygreadline7.dll" v0.0 ts=2017-02-11 22:39
   72k 2017/03/17 C:\cygwin64\bin\cygrest-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-0.7-0.dll" v0.0 ts=2017-03-17 08:44
   28k 2017/03/17 C:\cygwin64\bin\cygrest-extras-0.7-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygrest-extras-0.7-0.dll" v0.0 ts=2017-03-17 08:44
   57k 2014/03/20 C:\cygwin64\bin\cygroken-18.dll - os=4.0 img=0.0 sys=5.2
                  "cygroken-18.dll" v0.0 ts=2014-03-20 04:53
  202k 2017/09/04 C:\cygwin64\bin\cygrsvg-2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygrsvg-2-2.dll" v0.0 ts=2017-09-04 23:46
   96k 2017/04/16 C:\cygwin64\bin\cygsasl2-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygsasl2-3.dll" v0.0 ts=2017-04-16 19:15
  247k 2017/03/05 C:\cygwin64\bin\cygsecret-1-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsecret-1-0.dll" v0.0 ts=2017-03-05 20:36
   11k 2015/07/17 C:\cygwin64\bin\cygsigsegv-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygsigsegv-2.dll" v0.0 ts=2015-07-17 22:35
   28k 2014/01/14 C:\cygwin64\bin\cygSM-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygSM-6.dll" v0.0 ts=2014-01-14 23:23
   89k 2015/03/23 C:\cygwin64\bin\cygsmartcols-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsmartcols-1.dll" v0.0 ts=2015-03-23 09:46
  167k 2017/04/30 C:\cygwin64\bin\cygsmime3.dll - os=4.0 img=0.0 sys=5.2
                  "cygsmime3.dll" v0.0 ts=2017-04-30 21:08
  263k 2017/04/30 C:\cygwin64\bin\cygsoftokn3.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoftokn3.dll" v0.0 ts=2017-04-30 21:05
  698k 2017/09/04 C:\cygwin64\bin\cygsoup-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-2.4-1.dll" v0.0 ts=2017-09-04 23:26
   11k 2017/09/04 C:\cygwin64\bin\cygsoup-gnome-2.4-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsoup-gnome-2.4-1.dll" v0.0 ts=2017-09-04 23:26
  996k 2017/11/06 C:\cygwin64\bin\cygsqlite3-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygsqlite3-0.dll" v0.0 ts=2017-11-06 12:43
  156k 2016/03/02 C:\cygwin64\bin\cygssh2-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygssh2-1.dll" v0.0 ts=2016-03-02 18:03
  399k 2018/01/10 C:\cygwin64\bin\cygssl-1.0.0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssl-1.0.0.dll" v0.0 ts=2018-01-10 17:09
  360k 2017/04/30 C:\cygwin64\bin\cygssl3.dll - os=4.0 img=0.0 sys=5.2
                  "cygssl3.dll" v0.0 ts=2017-04-30 21:07
   12k 2017/11/13 C:\cygwin64\bin\cygssp-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygssp-0.dll" v0.0 ts=2017-11-13 21:52
 1335k 2018/01/20 C:\cygwin64\bin\cygstdc++-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygstdc++-6.dll" v0.0 ts=2018-01-20 07:14
   60k 2017/06/13 C:\cygwin64\bin\cygsynctex-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygsynctex-1.dll" v0.0 ts=2017-06-13 15:42
  245k 2016/08/23 C:\cygwin64\bin\cygt1-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygt1-5.dll" v0.0 ts=2016-08-23 08:55
   66k 2017/05/29 C:\cygwin64\bin\cygtasn1-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtasn1-6.dll" v0.0 ts=2017-05-29 23:32
  194k 2017/03/15 C:\cygwin64\bin\cygTECkit-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygTECkit-0.dll" v0.0 ts=2017-03-15 23:39
 1357k 2017/03/15 C:\cygwin64\bin\cygTECkit_Compiler-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygTECkit_Compiler-0.dll" v0.0 ts=2017-03-15 23:39
 1941k 2015/01/12 C:\cygwin64\bin\cygtelepathy-glib-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygtelepathy-glib-0.dll" v0.0 ts=2015-01-12 06:44
  162k 2017/06/13 C:\cygwin64\bin\cygtexlua52-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygtexlua52-5.dll" v0.0 ts=2017-06-13 15:38
  436k 2017/06/13 C:\cygwin64\bin\cygtexluajit-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygtexluajit-2.dll" v0.0 ts=2017-06-13 15:39
   34k 2017/03/05 C:\cygwin64\bin\cygthai-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygthai-0.dll" v0.0 ts=2017-03-05 04:36
   58k 2017/12/05 C:\cygwin64\bin\cygticw-10.dll - os=4.0 img=0.0 sys=5.2
                  "cygticw-10.dll" v0.0 ts=2017-12-05 08:13
  363k 2014/05/15 C:\cygwin64\bin\cygtiff-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiff-5.dll" v0.0 ts=1970-01-01 00:00
  452k 2017/12/18 C:\cygwin64\bin\cygtiff-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiff-6.dll" v0.0 ts=2017-12-18 02:52
   12k 2014/05/15 C:\cygwin64\bin\cygtiffxx-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiffxx-5.dll" v0.0 ts=1970-01-01 00:00
   12k 2017/12/18 C:\cygwin64\bin\cygtiffxx-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygtiffxx-6.dll" v0.0 ts=2017-12-18 02:52
   20k 2017/11/01 C:\cygwin64\bin\cygtxc_dxtn.dll - os=4.0 img=0.0 sys=5.2
                  "cygtxc_dxtn.dll" v0.0 ts=2017-11-02 00:08
  368k 2016/11/06 C:\cygwin64\bin\cygUil-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygUil-4.dll" v0.0 ts=2016-11-06 22:28
 1154k 2013/04/20 C:\cygwin64\bin\cygunistring-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygunistring-0.dll" v0.0 ts=2013-04-20 19:38
 1592k 2018/01/07 C:\cygwin64\bin\cygunistring-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygunistring-2.dll" v0.0 ts=2018-01-07 20:55
   73k 2015/02/11 C:\cygwin64\bin\cygusb0.dll - os=4.0 img=0.0 sys=5.2
                  "cygusb0.dll" v0.0 ts=2015-02-11 01:16
   15k 2015/03/23 C:\cygwin64\bin\cyguuid-1.dll - os=4.0 img=0.0 sys=5.2
                  "cyguuid-1.dll" v0.0 ts=2015-03-23 09:46
  347k 2016/08/10 C:\cygwin64\bin\cygvoikko-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygvoikko-1.dll" v0.0 ts=2016-08-10 22:32
 2191k 2015/10/01 C:\cygwin64\bin\cygvpx-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygvpx-1.dll" v0.0 ts=2015-10-01 21:34
    8k 2016/06/13 C:\cygwin64\bin\cygvtv-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv-0.dll" v0.0 ts=2016-06-13 17:12
    8k 2016/06/13 C:\cygwin64\bin\cygvtv_stubs-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygvtv_stubs-0.dll" v0.0 ts=2016-06-13 17:12
24397k 2015/11/20 C:\cygwin64\bin\cygwebkitgtk-3.0-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebkitgtk-3.0-0.dll" v0.0 ts=2015-11-20 15:34
  344k 2016/07/08 C:\cygwin64\bin\cygwebp-5.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebp-5.dll" v0.0 ts=2016-07-08 19:27
  438k 2017/12/18 C:\cygwin64\bin\cygwebp-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygwebp-7.dll" v0.0 ts=2017-12-18 02:54
  161k 2014/03/20 C:\cygwin64\bin\cygwind-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygwind-0.dll" v0.0 ts=2014-03-20 04:53
   30k 2013/11/15 C:\cygwin64\bin\cygwrap-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygwrap-0.dll" v0.0 ts=2013-11-15 19:58
 1128k 2017/06/19 C:\cygwin64\bin\cygX11-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-6.dll" v0.0 ts=2017-06-19 02:47
    9k 2017/06/19 C:\cygwin64\bin\cygX11-xcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygX11-xcb-1.dll" v0.0 ts=2017-06-19 02:47
   12k 2013/06/06 C:\cygwin64\bin\cygXau-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXau-6.dll" v0.0 ts=2013-06-06 06:23
  375k 2016/01/29 C:\cygwin64\bin\cygXaw-7.dll - os=4.0 img=0.0 sys=5.2
                  "cygXaw-7.dll" v0.0 ts=2016-01-29 20:32
  121k 2017/10/03 C:\cygwin64\bin\cygxcb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-1.dll" v0.0 ts=2017-10-03 23:34
   12k 2017/10/03 C:\cygwin64\bin\cygxcb-composite-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-composite-0.dll" v0.0 ts=2017-10-03 23:34
   15k 2017/10/03 C:\cygwin64\bin\cygxcb-dri2-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-dri2-0.dll" v0.0 ts=2017-10-03 23:34
   40k 2014/08/10 C:\cygwin64\bin\cygxcb-ewmh-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-ewmh-2.dll" v0.0 ts=1970-01-01 00:00
   75k 2017/10/03 C:\cygwin64\bin\cygxcb-glx-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-glx-0.dll" v0.0 ts=2017-10-03 23:34
   17k 2014/08/10 C:\cygwin64\bin\cygxcb-icccm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-icccm-4.dll" v0.0 ts=1970-01-01 00:00
   16k 2013/03/17 C:\cygwin64\bin\cygxcb-image-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-image-0.dll" v0.0 ts=2013-03-17 22:42
   11k 2013/08/01 C:\cygwin64\bin\cygxcb-keysyms-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-keysyms-1.dll" v0.0 ts=2013-08-01 08:15
   46k 2017/10/03 C:\cygwin64\bin\cygxcb-randr-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-randr-0.dll" v0.0 ts=2017-10-03 23:34
   41k 2017/10/03 C:\cygwin64\bin\cygxcb-render-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-render-0.dll" v0.0 ts=2017-10-03 23:34
   14k 2014/08/10 C:\cygwin64\bin\cygxcb-render-util-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-render-util-0.dll" v0.0 ts=1970-01-01 00:00
   13k 2017/10/03 C:\cygwin64\bin\cygxcb-shape-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-shape-0.dll" v0.0 ts=2017-10-03 23:34
   11k 2017/10/03 C:\cygwin64\bin\cygxcb-shm-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-shm-0.dll" v0.0 ts=2017-10-03 23:34
   21k 2017/10/03 C:\cygwin64\bin\cygxcb-sync-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-sync-1.dll" v0.0 ts=2017-10-03 23:34
   17k 2013/03/17 C:\cygwin64\bin\cygxcb-util-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-util-1.dll" v0.0 ts=2013-03-17 22:33
   25k 2017/10/03 C:\cygwin64\bin\cygxcb-xfixes-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xfixes-0.dll" v0.0 ts=2017-10-03 23:34
   11k 2017/10/03 C:\cygwin64\bin\cygxcb-xinerama-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xinerama-0.dll" v0.0 ts=2017-10-03 23:34
   88k 2017/10/03 C:\cygwin64\bin\cygxcb-xkb-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxcb-xkb-1.dll" v0.0 ts=2017-10-03 23:34
   11k 2013/03/18 C:\cygwin64\bin\cygXcomposite-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcomposite-1.dll" v0.0 ts=2013-03-18 23:05
   34k 2013/06/06 C:\cygwin64\bin\cygXcursor-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXcursor-1.dll" v0.0 ts=2013-06-06 21:15
   10k 2013/04/08 C:\cygwin64\bin\cygXdamage-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdamage-1.dll" v0.0 ts=2013-04-08 22:24
   21k 2015/03/26 C:\cygwin64\bin\cygXdmcp-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXdmcp-6.dll" v0.0 ts=2015-03-26 15:38
   56k 2014/08/10 C:\cygwin64\bin\cygXext-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXext-6.dll" v0.0 ts=1970-01-01 00:00
   20k 2016/10/09 C:\cygwin64\bin\cygXfixes-3.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfixes-3.dll" v0.0 ts=2016-10-09 18:37
  211k 2017/10/31 C:\cygwin64\bin\cygXfont-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfont-1.dll" v0.0 ts=2017-10-31 06:37
  207k 2017/10/17 C:\cygwin64\bin\cygXfont2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXfont2-2.dll" v0.0 ts=2017-10-17 05:06
   73k 2014/08/10 C:\cygwin64\bin\cygXft-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXft-2.dll" v0.0 ts=1970-01-01 00:00
   58k 2017/06/19 C:\cygwin64\bin\cygXi-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXi-6.dll" v0.0 ts=2017-06-19 03:04
   10k 2013/06/06 C:\cygwin64\bin\cygXinerama-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXinerama-1.dll" v0.0 ts=2013-06-06 21:33
  234k 2016/11/07 C:\cygwin64\bin\cygxkbcommon-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbcommon-0.dll" v0.0 ts=2016-11-07 02:53
   27k 2016/11/07 C:\cygwin64\bin\cygxkbcommon-x11-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbcommon-x11-0.dll" v0.0 ts=2016-11-07 02:53
  128k 2016/01/29 C:\cygwin64\bin\cygxkbfile-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxkbfile-1.dll" v0.0 ts=2016-01-29 20:31
 2239k 2016/11/06 C:\cygwin64\bin\cygXm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXm-4.dll" v0.0 ts=2016-11-06 22:26
 1214k 2017/03/13 C:\cygwin64\bin\cygxml2-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygxml2-2.dll" v0.0 ts=2017-03-13 17:01
   85k 2014/01/15 C:\cygwin64\bin\cygXmu-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmu-6.dll" v0.0 ts=2014-01-15 02:27
   14k 2014/01/15 C:\cygwin64\bin\cygXmuu-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXmuu-1.dll" v0.0 ts=2014-01-15 02:27
   61k 2017/01/18 C:\cygwin64\bin\cygXpm-4.dll - os=4.0 img=0.0 sys=5.2
                  "cygXpm-4.dll" v0.0 ts=2017-01-18 17:27
   36k 2016/10/09 C:\cygwin64\bin\cygXrandr-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrandr-2.dll" v0.0 ts=2016-10-09 18:45
   36k 2016/01/29 C:\cygwin64\bin\cygXrender-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXrender-1.dll" v0.0 ts=2016-01-29 20:24
  209k 2016/08/26 C:\cygwin64\bin\cygxslt-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygxslt-1.dll" v0.0 ts=2016-08-26 22:10
   12k 2013/03/14 C:\cygwin64\bin\cygXss-1.dll - os=4.0 img=0.0 sys=5.2
                  "cygXss-1.dll" v0.0 ts=2013-03-14 04:07
  326k 2016/01/29 C:\cygwin64\bin\cygXt-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXt-6.dll" v0.0 ts=2016-01-29 20:26
   22k 2016/10/09 C:\cygwin64\bin\cygXtst-6.dll - os=4.0 img=0.0 sys=5.2
                  "cygXtst-6.dll" v0.0 ts=2016-10-09 18:54
   83k 2017/03/03 C:\cygwin64\bin\cygz.dll - os=4.0 img=0.0 sys=5.2
                  "cygz.dll" v0.0 ts=2017-03-03 21:42
   61k 2015/03/26 C:\cygwin64\bin\cygzip-2.dll - os=4.0 img=0.0 sys=5.2
                  "cygzip-2.dll" v0.0 ts=2015-03-26 20:04
   24k 2013/05/30 C:\cygwin64\bin\cygzzip-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzip-0-13.dll" v0.0 ts=2013-05-30 03:34
   12k 2013/05/30 C:\cygwin64\bin\cygzzipfseeko-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipfseeko-0-13.dll" v0.0 ts=2013-05-30 03:34
   15k 2013/05/30 C:\cygwin64\bin\cygzzipmmapped-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipmmapped-0-13.dll" v0.0 ts=2013-05-30 03:34
    9k 2013/05/30 C:\cygwin64\bin\cygzzipwrap-0-13.dll - os=4.0 img=0.0 sys=5.2
                  "cygzzipwrap-0-13.dll" v0.0 ts=2013-05-30 03:34
 3121k 2018/02/02 C:\cygwin64\bin\cygwin1.dll - os=4.0 img=0.0 sys=5.2
                  "cygwin1.dll" v0.0 ts=2018-02-02 14:16
    Cygwin DLL version info:
        DLL version: 2.10.0
        DLL epoch: 19
        DLL old termios: 5
        DLL malloc env: 28
        Cygwin conv: 181
        API major: 0
        API minor: 325
        Shared data: 5
        DLL identifier: cygwin1
        Mount registry: 3
        Cygwin registry name: Cygwin
        Installations name: Installations
        Cygdrive default prefix: 
        Build date: 
        Shared id: cygwin1S5

  440k 2017/08/04 C:\cygwin64\lib\lapack\cygblas-0.dll - os=4.0 img=0.0 sys=5.2
                  "cygblas-0.dll" v0.0 ts=2017-08-04 21:41
 6738k 2017/08/04 C:\cygwin64\lib\lapack\cyglapack-0.dll - os=4.0 img=0.0 sys=5.2
                  "cyglapack-0.dll" v0.0 ts=2017-08-04 21:41

Service             : cygserver           (Installation path: C:\cygwin)
Display name        : CYGWIN cygserver
Current State       : Running
Controls Accepted   : Stop
Command             : /usr/sbin/cygserver
stdin path          : /dev/null
stdout path         : /var/log/cygserver.log
stderr path         : /var/log/cygserver.log
Process Type        : Own Process
Startup             : Automatic
Dependencies        : tcpip, afd
Account             : LocalSystem

Service             : sshd                (Installation path: C:\cygwin)
Display name        : CYGWIN sshd
Current State       : Running
Controls Accepted   : Stop
Command             : /usr/sbin/sshd -D
stdin path          : /dev/null
stdout path         : /var/log/sshd.log
stderr path         : /var/log/sshd.log
Process Type        : Own Process
Startup             : Automatic
Dependencies        : cygserver
Account             : .\cyg_server


Cygwin Package Information
Last downloaded files to: C:\cygwin64
Last downloaded files from: ftp://ftp-stud.hs-esslingen.de/pub/Mirrors/sources.redhat.com/cygwin/

Package                             Version                 Status
_autorebase                         001007-1                OK
Empty package _update-info-dir
_update-info-dir                    03000-1                 OK
adwaita-icon-theme                  3.24.0-1                OK
adwaita-themes                      3.22.3-1                OK
alternatives                        1.3.30c-10              OK
at-spi2-core                        2.24.1-1                OK
autoconf                            13-1                    OK
autoconf2.1                         2.13-12                 OK
autoconf2.5                         2.69-3                  OK
automake                            10-1                    OK
automake1.10                        1.10.3-3                OK
automake1.11                        1.11.6-3                OK
automake1.12                        1.12.6-3                OK
automake1.13                        1.13.4-2                OK
automake1.14                        1.14.1-3                OK
automake1.15                        1.15.1-1                OK
automake1.4                         1.4p6-11                OK
automake1.5                         1.5-11                  OK
automake1.6                         1.6.3-12                OK
automake1.7                         1.7.9-11                OK
automake1.8                         1.8.5-11                OK
automake1.9                         1.9.6-11                OK
base-cygwin                         3.8-1                   OK
base-files                          4.2-4                   OK
bash                                4.4.12-3                OK
binutils                            2.29-1                  OK
bison                               3.0.4-1                 OK
bitstream-vera-fonts                1.10-2                  OK
bzip2                               1.0.6-3                 OK
ca-certificates                     2.16-1                  OK
cantarell-fonts                     0.0.25-1                OK
Empty package catgets
catgets                             2.10.0-1                OK
clang                               5.0.1-2                 OK
clang-analyzer                      5.0.1-2                 OK
cmake                               3.6.2-1                 OK
compiler-rt                         5.0.1-1                 OK
coreutils                           8.26-2                  OK
csih                                0.9.9-1                 OK
cygrunsrv                           1.62-1                  OK
cygutils                            1.4.16-2                OK
cygwin                              2.10.0-1                OK
cygwin-debuginfo                    2.10.0-1                OK
cygwin-devel                        2.10.0-1                OK
cygwin32-w32api-headers             4.0.4-1                 OK
cygwin32-w32api-runtime             4.0.4-1                 OK
damageproto                         1.2.1-1                 OK
dash                                0.5.9.1-1               OK
dbus                                1.10.22-1               OK
dbus-x11                            1.10.22-1               OK
dconf-service                       0.26.0-1                OK
dejavu-fonts                        2.37-1                  OK
desktop-file-utils                  0.23-1                  OK
dialog                              1.3-3.20170131          OK
diffutils                           3.5-2                   OK
dri-drivers                         17.3.3-1                OK
ed                                  1.14.1-1                OK
editrights                          1.03-1                  OK
emacs                               25.3-1                  OK
emacs-auctex                        11.92-1                 OK
emacs-cmake                         3.6.2-1                 OK
emacs-el                            25.3-1                  OK
emacs-X11                           25.3-1                  OK
file                                5.30-1                  OK
findutils                           4.6.0-1                 OK
fixesproto                          5.0-1                   OK
flex                                2.6.4-2                 OK
Empty package font-adobe-dpi100
font-adobe-dpi100                   7.5-3                   OK
Empty package font-adobe-dpi75
font-adobe-dpi75                    7.5-3                   OK
Empty package font-adobe-utopia-dpi100
font-adobe-utopia-dpi100            7.5-3                   OK
Empty package font-adobe-utopia-dpi75
font-adobe-utopia-dpi75             7.5-3                   OK
Empty package font-adobe-utopia-type1
font-adobe-utopia-type1             7.5-3                   OK
Empty package font-alias
font-alias                          7.5-3                   OK
Empty package font-arabic-misc
font-arabic-misc                    7.5-3                   OK
Empty package font-bh-dpi100
font-bh-dpi100                      7.5-3                   OK
Empty package font-bh-dpi75
font-bh-dpi75                       7.5-3                   OK
Empty package font-bh-lucidatypewriter-dpi100
font-bh-lucidatypewriter-dpi100     7.5-3                   OK
Empty package font-bh-lucidatypewriter-dpi75
font-bh-lucidatypewriter-dpi75      7.5-3                   OK
Empty package font-bh-ttf
font-bh-ttf                         7.5-3                   OK
Empty package font-bh-type1
font-bh-type1                       7.5-3                   OK
Empty package font-bitstream-dpi100
font-bitstream-dpi100               7.5-3                   OK
Empty package font-bitstream-dpi75
font-bitstream-dpi75                7.5-3                   OK
font-bitstream-speedo               1.0.1-1                 OK
Empty package font-bitstream-type1
font-bitstream-type1                7.5-3                   OK
Empty package font-bitstream-vera-ttf
font-bitstream-vera-ttf             1.10-2                  OK
Empty package font-cantarell-otf
font-cantarell-otf                  0.0.25-1                OK
Empty package font-cronyx-cyrillic
font-cronyx-cyrillic                7.5-3                   OK
Empty package font-cursor-misc
font-cursor-misc                    7.5-3                   OK
Empty package font-daewoo-misc
font-daewoo-misc                    7.5-3                   OK
Empty package font-dec-misc
font-dec-misc                       7.5-3                   OK
Empty package font-encodings
font-encodings                      7.5-3                   OK
Empty package font-ibm-type1
font-ibm-type1                      7.5-3                   OK
Empty package font-isas-misc
font-isas-misc                      7.5-3                   OK
Empty package font-jis-misc
font-jis-misc                       7.5-3                   OK
Empty package font-micro-misc
font-micro-misc                     7.5-3                   OK
Empty package font-misc-cyrillic
font-misc-cyrillic                  7.5-3                   OK
Empty package font-misc-ethiopic
font-misc-ethiopic                  7.5-3                   OK
Empty package font-misc-meltho
font-misc-meltho                    7.5-3                   OK
Empty package font-misc-misc
font-misc-misc                      7.5-3                   OK
Empty package font-mutt-misc
font-mutt-misc                      7.5-3                   OK
Empty package font-schumacher-misc
font-schumacher-misc                7.5-3                   OK
Empty package font-screen-cyrillic
font-screen-cyrillic                7.5-3                   OK
Empty package font-sony-misc
font-sony-misc                      7.5-3                   OK
Empty package font-sun-misc
font-sun-misc                       7.5-3                   OK
font-tektronix-misc                 2.6-5                   OK
font-util                           1.3.1-2                 OK
Empty package font-winitzki-cyrillic
font-winitzki-cyrillic              7.5-3                   OK
Empty package font-xfree86-type1
font-xfree86-type1                  7.5-3                   OK
fontconfig                          2.12.4-1                OK
fontconfig-doc                      2.12.4-1                OK
fontsproto                          2.1.3-1                 OK
fonttosfnt                          1.0.4-1                 OK
fvwm                                2.6.6-1                 OK
gamin                               0.1.10-15               OK
gawk                                4.2.0-1                 OK
gcc-core                            6.4.0-5                 OK
gcc-fortran                         6.4.0-5                 OK
gcc-g++                             6.4.0-5                 OK
gcc-objc                            6.4.0-5                 OK
gcc-objc++                          6.4.0-5                 OK
gcc-tools-epoch1-autoconf           2.59-2                  OK
gcc-tools-epoch1-automake           1.9.6-2                 OK
gcc-tools-epoch2-autoconf           2.64-2                  OK
gcc-tools-epoch2-automake           1.11.6-1                OK
gccmakedep                          1.0.3-1                 OK
gconf-desktop-schemas               2.32.1-3                OK
GConf2                              3.2.6-2                 OK
gcr                                 3.20.0-1                OK
gdb                                 7.10.1-1                OK
gdk-pixbuf2.0-svg                   2.40.18-1               OK
getent                              2.18.90-4               OK
gettext                             0.19.8.1-2              OK
gettext-devel                       0.19.8.1-2              OK
ghostscript                         9.22-3                  OK
ghostscript-fonts-other             6.0-1                   OK
Empty package ghostscript-fonts-std
ghostscript-fonts-std               20170801-4              OK
git                                 2.16.1-1                OK
glib2.0-networking                  2.50.0-2                OK
glproto                             1.4.17-1                OK
Empty package gmp
gmp                                 6.1.2-1                 OK
gnome-icon-theme                    3.10.0-1                OK
gnome-keyring                       3.20.1-1                OK
gnome-menus                         3.13.3-3                OK
gnome-online-accounts               3.22.6-1                OK
gnupg                               1.4.22-1                OK
gnuplot                             5.2.2-1                 OK
grep                                3.0-2                   OK
groff                               1.22.3-1                OK
gsettings-desktop-schemas           3.24.1-1                OK
gsl                                 2.3-2                   OK
Empty package gsl-apps
gsl-apps                            2.3-2                   OK
Empty package gsl-devel
gsl-devel                           2.3-2                   OK
Empty package gsl-doc
gsl-doc                             2.3-2                   OK
gtk-update-icon-cache               3.22.20-1               OK
gtk2.0-engines-pixmap               2.24.31-1               OK
gvfs                                1.32.1-1                OK
gzip                                1.8-1                   OK
hicolor-icon-theme                  0.15-1                  OK
hostname                            3.13-1                  OK
hwloc                               1.11.8-1                OK
hwloc-debuginfo                     1.11.8-1                OK
imake                               1.0.7-1                 OK
indent                              2.2.11-2                OK
info                                6.5-2                   OK
ipc-utils                           1.0-2                   OK
iso-codes                           3.75-1                  OK
kbproto                             1.0.7-1                 OK
less                                487-1                   OK
libanthy-common                     9100h-2                 OK
libanthy0                           9100h-2                 OK
libarchive13                        3.3.2-1                 OK
libargp                             20110921-3              OK
libasn1_8                           1.5.3-1                 OK
libaspell15                         0.60.6.1-1              OK
libasprintf0                        0.19.8.1-2              OK
libatk-bridge2.0_0                  2.24.1-1                OK
libatk1.0_0                         2.24.0-1                OK
libatomic1                          6.4.0-5                 OK
libatspi0                           2.24.1-1                OK
libattr1                            2.4.46-1                OK
libautotrace3                       0.31.1-18               OK
libavahi-client3                    0.6.32-1                OK
libavahi-common3                    0.6.32-1                OK
libavahi-glib1                      0.6.32-1                OK
libblkid1                           2.25.2-2                OK
libbz2-devel                        1.0.6-3                 OK
libbz2_1                            1.0.6-3                 OK
libc++-devel                        5.0.1-2                 OK
libc++1                             5.0.1-2                 OK
libc++abi-devel                     5.0.1-1                 OK
libc++abi1                          5.0.1-1                 OK
libcairo-devel                      1.14.10-1               OK
libcairo2                           1.14.10-1               OK
Empty package libcatgets-devel
libcatgets-devel                    2.10.0-1                OK
libcatgets1                         1.1-2                   OK
libcharset1                         1.14-3                  OK
libclang3.7                         3.7.1-1                 OK
libclang3.8                         3.8.1-1                 OK
libclang3.9                         3.9.1-1                 OK
libclang4.0                         4.0.1-1                 OK
libclang5.0                         5.0.1-2                 OK
libcloog-isl4                       0.18.0-2                OK
libcloog0                           0.15.11-2               OK
libcom_err2                         1.42.12-2               OK
libcord1                            7.6.4-1                 OK
libcroco0.6_3                       0.6.12-1                OK
libcrypt0                           2.1-1                   OK
libcurl4                            7.56.1-1                OK
libdatrie1                          0.2.8-1                 OK
libdb5.3                            5.3.28-2                OK
libdbus-glib_1_2                    0.108-1                 OK
libdbus1_3                          1.10.22-1               OK
libdialog11                         1.2-20140112-1          OK
libdialog12                         1.2-20150225-2          OK
libdialog13                         1.3-2.20160828          OK
libdialog14                         1.3-3.20170131          OK
libedit0                            20130712-1              OK
libEGL-devel                        17.3.3-1                OK
libEGL1                             17.3.3-1                OK
libEMF1                             1.0.8-1                 OK
libenchant1                         1.6.1-1                 OK
libepoxy0                           1.4.3-1                 OK
libexpat-devel                      2.2.3-1                 OK
libexpat1                           2.2.3-1                 OK
libfam0                             0.1.10-15               OK
libffi-devel                        3.2.1-2                 OK
libffi6                             3.2.1-2                 OK
libfftw3_3                          3.3.6-pl1-1             OK
libfontconfig-common                2.12.4-1                OK
libfontconfig-devel                 2.12.4-1                OK
libfontconfig1                      2.12.4-1                OK
libfontenc1                         1.1.3-1                 OK
libfpx1                             1.3.1.4-1               OK
libfreetype-devel                   2.6.5-1                 OK
libfreetype6                        2.6.5-1                 OK
libfribidi0                         0.19.7-1                OK
libgailutil3_0                      3.22.20-1               OK
libgc1                              7.6.4-1                 OK
libgc2                              7.6.2-2                 OK
libgcc1                             6.4.0-5                 OK
libgccpp1                           7.6.4-1                 OK
libgck1_0                           3.20.0-1                OK
libgconf2_4                         3.2.6-2                 OK
libgcr-base3_1                      3.20.0-1                OK
libgcr-ui3-common                   3.20.0-1                OK
libgcr-ui3_1                        3.20.0-1                OK
Empty package libgcr3-common
libgcr3-common                      3.20.0-1                OK
Empty package libgcr3_1
libgcr3_1                           3.20.0-1                OK
libgcrypt11                         1.5.3-2                 OK
libgcrypt20                         1.7.8-1                 OK
libgd2                              2.0.36RC1-1             OK
libgd3                              2.2.5-1                 OK
libgdata-common                     0.17.8-1                OK
libgdata22                          0.17.8-1                OK
libgdbm4                            1.12-1                  OK
libgdk_pixbuf2.0_0                  2.36.9-1                OK
libgeoclue0                         0.12.99-2               OK
libgettextpo0                       0.19.8.1-2              OK
libgfortran3                        6.4.0-5                 OK
libggi2                             2.2.2-4                 OK
libggiwmh0                          0.3.2-4                 OK
libgif4                             4.1.6-12                OK
libgii1                             1.0.2-4                 OK
libGL-devel                         17.3.3-1                OK
libGL1                              17.3.3-1                OK
libglapi0                           17.3.3-1                OK
libglib2.0-devel                    2.52.3-1                OK
libglib2.0_0                        2.52.3-1                OK
libgmp10                            6.1.2-1                 OK
libgmpxx4                           6.1.2-1                 OK
libgnome-menu3_0                    3.13.3-3                OK
libgnutls28                         3.3.27-1                OK
libgnutls30                         3.5.13-1                OK
libgoa-backend1.0_1                 3.22.6-1                OK
libgoa1.0_0                         3.22.6-1                OK
libgomp1                            6.4.0-5                 OK
libgpg-error0                       1.27-1                  OK
libgraphite2_3                      1.3.10-1                OK
libgs9                              9.22-3                  OK
libgsl-devel                        2.3-2                   OK
libgsl0                             1.16-2                  OK
libgsl19                            2.3-2                   OK
libgssapi3                          1.5.3-1                 OK
libgssapi_krb5_2                    1.15.2-1                OK
libgstinterfaces1.0_0               1.12.4-1                OK
libgstreamer1.0_0                   1.12.4-1                OK
libgtk2.0_0                         2.24.31-1               OK
libgtk3_0                           3.22.20-1               OK
libguile17                          1.8.8-3                 OK
libguile2.0_22                      2.0.14-2                OK
libharfbuzz-icu0                    1.7.4-1                 OK
libharfbuzz0                        1.7.4-1                 OK
libheimbase1                        1.5.3-1                 OK
libheimntlm0                        1.5.3-1                 OK
libhogweed2                         2.7.1-1                 OK
libhogweed4                         3.3-1                   OK
libhunspell1.3_0                    1.3.3-1                 OK
libhunspell1.4_0                    1.4.1-1                 OK
libhunspell1.6_0                    1.6.1-1                 OK
libhwloc-devel                      1.11.8-1                OK
libhwloc5                           1.11.8-1                OK
libhx509_5                          1.5.3-1                 OK
libICE-devel                        1.0.9-1                 OK
libICE6                             1.0.9-1                 OK
libiconv                            1.14-3                  OK
libiconv-devel                      1.14-3                  OK
libiconv2                           1.14-3                  OK
libicu51                            51.2-1                  OK
libicu54                            54.1-1                  OK
libicu55                            55.1-1                  OK
libicu56                            56.1-1                  OK
libicu57                            57.1-1                  OK
libicu58                            58.2-1                  OK
libicu59                            59.1-1                  OK
libicu60                            60.2-1                  OK
libidn11                            1.33-1                  OK
libidn2_0                           2.0.4-1                 OK
libimagequant0                      2.10.0-1                OK
libintl-devel                       0.19.8.1-2              OK
libintl8                            0.19.8.1-2              OK
libisl10                            0.11.1-2                OK
libisl13                            0.14.1-1                OK
libisl15                            0.16.1-1                OK
libjasper1                          1.900.22-1              OK
libjasper4                          2.0.14-1                OK
libjavascriptcoregtk3.0_0           2.0.4-5                 OK
libjbig2                            2.0-14                  OK
libjpeg8                            1.5.3-1                 OK
libjson-glib1.0_0                   1.2.6-1                 OK
libk5crypto3                        1.15.2-1                OK
libkafs0                            1.5.3-1                 OK
libkpathsea6                        20170520-3              OK
libkrb5_26                          1.5.3-1                 OK
libkrb5_3                           1.15.2-1                OK
libkrb5support0                     1.15.2-1                OK
liblapack-devel                     3.7.1-1                 OK
liblapack0                          3.7.1-1                 OK
liblcms2_2                          2.9-1                   OK
libllvm3.4                          3.4.2-5                 OK
libllvm3.5                          3.5.2-2                 OK
libllvm3.7                          3.7.1-1                 OK
libllvm3.8                          3.8.1-1                 OK
libllvm3.9                          3.9.1-1                 OK
libllvm4.0                          4.0.1-1                 OK
libllvm5.0                          5.0.1-1                 OK
libltdl7                            2.4.6-5                 OK
liblz4_1                            131-1                   OK
liblzma5                            5.2.3-1                 OK
liblzo2_2                           2.10-1                  OK
libm17n0                            1.7.0-1                 OK
libMagickC++6_5                     6.9.0.0-4               OK
libMagickC++6_6                     6.9.5.7-2               OK
libMagickCore5                      6.7.6.3-4               OK
Empty package libMagickCore6
libMagickCore6                      6.9.0.0-4               OK
libMagickCore6_2                    6.9.5.7-2               OK
libMagickCore6_5                    6.9.9.11-3              OK
libMagickWand6_2                    6.9.5.7-2               OK
libMagickWand6_5                    6.9.9.11-3              OK
libmcpp0                            2.7.2-2                 OK
libming1                            0.4.8-1                 OK
libmpc3                             1.0.3-1                 OK
libmpfr4                            4.0.1-1                 OK
libncursesw10                       6.0-12.20171125         OK
libnettle4                          2.7.1-1                 OK
libnettle6                          3.3-1                   OK
libnfs8                             1.11.0-1                OK
libnghttp2_14                       1.23.1-1                OK
libnspr4                            4.14-1                  OK
libnss3                             3.30.2-1                OK
liboauth-common                     1.0.3-1                 OK
liboauth0                           1.0.3-1                 OK
libobjc4                            6.4.0-5                 OK
libopenblas                         0.2.20-2                OK
libopenjp2_7                        2.2.0-1                 OK
libopenjpeg1                        1.5.2-3                 OK
libopenldap2_4_2                    2.4.42-1                OK
libopenmpi                          1.8.8-1                 OK
libopenmpi-devel                    1.10.7-1                OK
libopenmpi12                        1.10.7-1                OK
libopenmpicxx1                      1.10.7-1                OK
libopenmpifh12                      1.10.7-1                OK
libopenmpifh2                       1.8.8-1                 OK
libopenmpiuse1                      1.8.8-1                 OK
libopenmpiusef08_0                  1.8.8-1                 OK
libopenmpiusef08_11                 1.10.7-1                OK
libopenmpiusetkr0                   1.8.8-1                 OK
libopenmpiusetkr6                   1.10.7-1                OK
libopenssl100                       1.0.2n-1                OK
libOpenVG1                          10.3.7-1                OK
liborc0.4_0                         0.4.28-1                OK
libotf0                             0.9.13-1                OK
libp11-kit0                         0.23.5-1                OK
libpango1.0_0                       1.40.12-1               OK
libpaper-common                     1.1.24-2                OK
libpaper1                           1.1.24-2                OK
libpcre-devel                       8.40-3                  OK
libpcre1                            8.40-3                  OK
libpcre16_0                         8.40-3                  OK
libpcre2_16_0                       10.23-2                 OK
libpcre32_0                         8.40-3                  OK
libpcrecpp0                         8.40-3                  OK
libpcreposix0                       8.40-3                  OK
libpipeline1                        1.4.0-1                 OK
libpixman1-devel                    0.34.0-1                OK
libpixman1_0                        0.34.0-1                OK
libplotter2                         2.6-5                   OK
libpng-devel                        1.6.34-1                OK
Empty package libpng-doc
libpng-doc                          1.6.34-1                OK
libpng15                            1.5.22-1                OK
libpng16                            1.6.34-1                OK
libpng16-devel                      1.6.34-1                OK
libpoppler28                        0.20.5-3                OK
libpoppler44                        0.24.5-1                OK
libpoppler46                        0.26.5-3                OK
libpoppler49                        0.30.0-2                OK
libpoppler55                        0.36.0-3                OK
libpoppler62                        0.45.0-2                OK
libpoppler66                        0.52.0-2                OK
libpopt-common                      1.16-2                  OK
libpopt0                            1.16-2                  OK
libpotrace0                         1.14-1                  OK
libppl9                             0.11.2-2                OK
libppl_c4                           0.11.2-2                OK
libproxy1                           0.4.14-2                OK
libpsl5                             0.18.0-1                OK
libpstoedit0                        3.70-2                  OK
libptexenc1                         20170520-3              OK
libpwl5                             0.11.2-2                OK
libQt5Core5                         5.9.3-2                 OK
libQt5Gui5                          5.9.3-2                 OK
libQt5Svg5                          5.9.3-1                 OK
libquadmath0                        6.4.0-5                 OK
libreadline7                        7.0.3-3                 OK
librest0.7_0                        0.8.0-1                 OK
libroken18                          1.5.3-1                 OK
librsvg2_2                          2.40.18-1               OK
libsasl2_3                          2.1.26-11               OK
libsecret1_0                        0.18.5-1                OK
libsigsegv2                         2.10-2                  OK
libSM-devel                         1.2.2-1                 OK
libSM6                              1.2.2-1                 OK
libsmartcols1                       2.25.2-2                OK
libsoup-gnome2.4_1                  2.58.2-1                OK
libsoup2.4_1                        2.58.2-1                OK
libsqlite3_0                        3.21.0-1                OK
libssh2_1                           1.7.0-1                 OK
libssp0                             6.4.0-4                 OK
libstdc++6                          6.4.0-5                 OK
libsynctex1                         20170520-3              OK
libtasn1_6                          4.12-1                  OK
libteckit0                          2.5.6-1                 OK
libtelepathy-glib0                  0.24.1-1                OK
libtexlua52_5                       20170520-3              OK
libtexluajit2                       20170520-3              OK
libthai0                            0.1.26-1                OK
libtiff5                            3.9.7-4                 OK
libtiff6                            4.0.9-1                 OK
libtxc_dxtn                         1.0.1-2                 OK
libunistring0                       0.9.3-2                 OK
libunistring2                       0.9.8-1                 OK
libusb0                             1.2.6.0-2               OK
libuuid-devel                       2.25.2-2                OK
libuuid1                            2.25.2-2                OK
libvoikko1                          3.8-1                   OK
libvpx1                             1.3.0-2                 OK
libvtv0                             5.4.0-1                 OK
libwebkitgtk3.0_0                   2.0.4-5                 OK
libwebp5                            0.4.4-1                 OK
libwebp7                            0.6.1-1                 OK
libwind0                            1.5.3-1                 OK
libwrap0                            7.6-22                  OK
libX11-devel                        1.6.5-1                 OK
libX11-xcb-devel                    1.6.5-1                 OK
libX11-xcb1                         1.6.5-1                 OK
libX11_6                            1.6.5-1                 OK
libXau-devel                        1.0.8-1                 OK
libXau6                             1.0.8-1                 OK
libXaw7                             1.0.13-1                OK
libxcb-composite0                   1.12-2                  OK
libxcb-devel                        1.12-2                  OK
libxcb-dri2_0                       1.12-2                  OK
libxcb-ewmh2                        0.4.1-1                 OK
libxcb-glx-devel                    1.12-2                  OK
libxcb-glx0                         1.12-2                  OK
libxcb-icccm4                       0.4.1-1                 OK
libxcb-image0                       0.3.9-1                 OK
libxcb-keysyms1                     0.3.9-1                 OK
libxcb-randr0                       1.12-2                  OK
libxcb-render-devel                 1.12-2                  OK
libxcb-render-util0                 0.3.9-1                 OK
libxcb-render0                      1.12-2                  OK
libxcb-shape0                       1.12-2                  OK
libxcb-shm-devel                    1.12-2                  OK
libxcb-shm0                         1.12-2                  OK
libxcb-sync1                        1.12-2                  OK
libxcb-util1                        0.3.9-1                 OK
libxcb-xfixes0                      1.12-2                  OK
libxcb-xinerama0                    1.12-2                  OK
libxcb-xkb1                         1.12-2                  OK
libxcb1                             1.12-2                  OK
libXcomposite1                      0.4.3-1                 OK
libXcursor1                         1.1.14-1                OK
libXdamage-devel                    1.1.4-1                 OK
libXdamage1                         1.1.4-1                 OK
libXdmcp-devel                      1.1.2-1                 OK
libXdmcp6                           1.1.2-1                 OK
libXext-devel                       1.3.3-1                 OK
libXext6                            1.3.3-1                 OK
libXfixes-devel                     5.0.3-1                 OK
libXfixes3                          5.0.3-1                 OK
libXfont1                           1.5.3-1                 OK
libXfont2_2                         2.0.2-1                 OK
libXft-devel                        2.3.2-1                 OK
libXft2                             2.3.2-1                 OK
libXi6                              1.7.9-1                 OK
libXinerama1                        1.1.3-1                 OK
libxkbcommon0                       0.6.1-1                 OK
libxkbfile1                         1.0.9-1                 OK
libXm-devel                         2.3.6-1                 OK
libXm4                              2.3.6-1                 OK
libxml2                             2.9.4-2                 OK
libxml2-devel                       2.9.4-2                 OK
libXmu6                             1.1.2-1                 OK
libXmuu1                            1.1.2-1                 OK
libXpm-devel                        3.5.12-1                OK
libXpm4                             3.5.12-1                OK
libXrandr2                          1.5.1-1                 OK
libXrender-devel                    0.9.9-1                 OK
libXrender1                         0.9.9-1                 OK
libxslt                             1.1.29-1                OK
libXss1                             1.2.2-1                 OK
libXt-devel                         1.1.5-1                 OK
libXt6                              1.1.5-1                 OK
libXtst6                            1.2.3-1                 OK
libzip2                             0.11.2-2                OK
libzzip0.13                         0.13.62-1               OK
login                               1.11-1                  OK
lua                                 5.2.4-1                 OK
lua5.1                              5.1.5-3                 OK
luit                                20130217-1              OK
lynx                                2.8.7-2                 OK
m17n-db                             1.7.0-2                 OK
m4                                  1.4.18-1                OK
make                                4.2.1-2                 OK
makedepend                          1.0.5-1                 OK
Empty package man
man                                 2.7.6.1-1               OK
man-db                              2.7.6.1-1               OK
mcpp                                2.7.2-2                 OK
mintty                              2.8.4-0                 OK
mkfontdir                           1.0.7-1                 OK
mkfontscale                         1.1.1-1                 OK
ncurses                             6.0-12.20171125         OK
openmpi                             1.10.7-1                OK
openmpi-debuginfo                   1.10.7-1                OK
openssh                             7.6p1-1                 OK
openssl                             1.0.2n-1                OK
p11-kit                             0.23.5-1                OK
p11-kit-trust                       0.23.5-1                OK
perl                                5.26.1-1                OK
perl-Carp                           1.3301-2                OK
perl-Digest-SHA                     6.01-1                  OK
perl-Encode-Locale                  1.05-2                  OK
perl-Error                          0.17025-2               OK
perl-File-Listing                   6.04-6                  OK
perl-HTML-Parser                    3.72-2                  OK
perl-HTML-Tagset                    3.20-6                  OK
perl-HTTP-Cookies                   6.04-2                  OK
perl-HTTP-Daemon                    6.01-6                  OK
perl-HTTP-Date                      6.02-6                  OK
perl-HTTP-Message                   6.14-1                  OK
perl-HTTP-Negotiate                 6.01-6                  OK
perl-IO-HTML                        1.001-3                 OK
perl-IO-String                      1.08-6                  OK
perl-libwww-perl                    6.31-1                  OK
Empty package perl-LWP
perl-LWP                            6.13-1                  OK
perl-LWP-MediaTypes                 6.02-6                  OK
perl-Net-HTTP                       6.17-1                  OK
Empty package perl-Pod-Simple
perl-Pod-Simple                     3.35-2                  OK
perl-Proc-ProcessTable              0.55-1                  OK
perl-Socket                         2.027-1                 OK
perl-TermReadKey                    2.37-2                  OK
perl-Test-Harness                   3.39-2                  OK
perl-Tk                             804.034-1               OK
perl-Tk-Pod                         0.9943-1                OK
perl-Try-Tiny                       0.30-1                  OK
Empty package perl-Unicode-Normalize
perl-Unicode-Normalize              1.25-2                  OK
perl-URI                            1.73-1                  OK
perl-WWW-RobotRules                 6.02-6                  OK
perl-XML-Parser                     2.44-3                  OK
perl_autorebase                     5.26.1-1                OK
perl_base                           5.26.1-1                OK
pkg-config                          0.29.1-1                OK
poppler-data                        0.4.8-1                 OK
Empty package popt
popt                                1.16-2                  OK
preview-latex                       11.92-1                 OK
publicsuffix-list-dafsa             20171028-1              OK
Empty package python
python                              2.7.14-1                OK
Empty package python-tkinter
python-tkinter                      2.7.14-1                OK
Empty package python-xdg
python-xdg                          0.25-6                  OK
python2                             2.7.14-1                OK
python2-tkinter                     2.7.14-1                OK
python2-xdg                         0.25-6                  OK
python3                             3.6.4-1                 OK
rebase                              4.4.4-1                 OK
renderproto                         0.11.1-1                OK
rgb                                 1.0.5-1                 OK
rsync                               3.1.2-1                 OK
run                                 1.3.4-2                 OK
sed                                 4.4-1                   OK
setxkbmap                           1.3.1-1                 OK
shared-mime-info                    1.8-1                   OK
suomi-malaga                        1.19-1                  OK
t1lib5                              5.1.2-13                OK
tar                                 1.29-1                  OK
tcl                                 8.6.8-1                 OK
tcl-tix                             8.4.3-3                 OK
tcl-tk                              8.6.8-1                 OK
tcsh                                6.20.00-1               OK
terminfo                            6.0-12.20171125         OK
terminfo-extra                      6.0-12.20171125         OK
texinfo                             6.5-2                   OK
texlive                             20170520-3              OK
texlive-collection-basic            20170520-1              OK
texlive-collection-latex            20170520-2              OK
texlive-collection-latexrecommended 20170520-1              OK
twm                                 1.0.9-1                 OK
tzcode                              2018c-1                 OK
tzdata                              2018c-1                 OK
unzip                               6.0-17                  OK
urw-base35-fonts                    20170801-4              OK
util-linux                          2.25.2-2                OK
vim                                 8.0.1486-1              OK
vim-common                          8.0.1486-1              OK
vim-minimal                         8.0.1486-1              OK
w32api-headers                      5.0.3-1                 OK
w32api-runtime                      5.0.3-1                 OK
wget                                1.19.1-2                OK
which                               2.20-2                  OK
windows-default-manifest            6.4-1                   OK
xauth                               1.0.10-1                OK
xbitmaps                            1.1.1-1                 OK
xclock                              1.0.7-1                 OK
xcursor-themes                      1.0.4-1                 OK
xdg-user-dirs                       0.16-1                  OK
xextproto                           7.3.0-1                 OK
xf86-video-dummy                    0.3.8-1                 OK
xf86-video-nested                   0.1.0-8.20160719git     OK
xhost                               1.0.7-1                 OK
xinit                               1.3.4-14                OK
xkbcomp                             1.4.0-1                 OK
xkeyboard-config                    2.22-1                  OK
xman                                1.1.4-1                 OK
xmodmap                             1.0.9-1                 OK
xorg-cf-files                       1.0.6-1                 OK
xorg-server                         1.19.6-1                OK
xorg-server-common                  1.19.6-1                OK
xorg-x11-fonts-cyrillic             7.5-3                   OK
xorg-x11-fonts-dpi100               7.5-3                   OK
xorg-x11-fonts-dpi75                7.5-3                   OK
xorg-x11-fonts-ethiopic             7.5-3                   OK
xorg-x11-fonts-misc                 7.5-3                   OK
xorg-x11-fonts-Type1                7.5-3                   OK
xpdf                                4.00-2                  OK
xproto                              7.0.31-1                OK
xrdb                                1.1.0-1                 OK
xset                                1.2.3-1                 OK
xsetroot                            1.1.0-1                 OK
xterm                               330-1                   OK
xwin-xdg-menu                       20170321-1              OK
xxd                                 8.0.1486-1              OK
xz                                  5.2.3-1                 OK
zip                                 3.0-12                  OK
zlib-devel                          1.2.11-1                OK
zlib0                               1.2.11-1                OK
Use -h to see help about each section
-------------- next part --------------

--
Problem reports:       http://cygwin.com/problems.html
FAQ:                   http://cygwin.com/faq/
Documentation:         http://cygwin.com/docs.html
Unsubscribe info:      http://cygwin.com/ml/#unsubscribe-simple


More information about the Cygwin mailing list